hxing

拒绝思维懒惰,习惯深度思考,提升认知水平。

导航

上一页 1 2 3 4 5 6 7 ··· 9 下一页

2022年2月9日

spare gate的使用

摘要: 在做eco时,需要用到spare gate单元。 一般spare gate 是单独放到一个module,网表里 例化了 这个module,那怎么使用呢?请教了一个技术大牛,提供了操作方法。 一种方式是给spare gate的模块增加pin,引线引出来,连到需要用到的地方。 另一种方式是直接拿出去用, 阅读全文

posted @ 2022-02-09 17:34 hxing 阅读(138) 评论(0) 推荐(0) 编辑

网表里修改寄存器默认值(一)

摘要: 在做eco时,需要修改寄存器的默认值。那如何操作呢?请教了一个技术大牛,提供了操作方法。 主要的操作方式有以下两种: 方式一就是 取反两次,保证寄存器Q端值不变,只是复位值取反了。 方式二D端取反了,电路里使用QN端,但是要注意后面的逻辑是否正确。 阅读全文

posted @ 2022-02-09 17:25 hxing 阅读(318) 评论(0) 推荐(1) 编辑

2021年8月15日

DFT scan chain 介绍

摘要: 现代集成电路的制造工艺越来越先进,但是在生产过程中的制造缺陷也越来越难以控制,甚至一颗小小的 PM2.5 就可能导致芯片报废,为了能有效的检测出生产中出现的废片,需要用到扫描链测试(scan chain),由此产生了可测性设计即 DFT flow。 注意scan test 只能检测出制造瑕疵,无法检 阅读全文

posted @ 2021-08-15 17:45 hxing 阅读(3664) 评论(1) 推荐(2) 编辑

2021年7月27日

Debug的一些小技巧和思路

摘要: 归纳记录一些在debug中用到的小技巧和思路: 1. data、address总线bus上一般有多位bit,经常会出现异常,比如数据不稳定(无规律跳变)、毛刺,可以将总线展开,观察哪一位bit跳变较多。 可以考虑在跳变较多的bit位上增加一个小电容,维持数据稳定,电容容值一般几十PF就行。对于单bi 阅读全文

posted @ 2021-07-27 22:53 hxing 阅读(247) 评论(0) 推荐(0) 编辑

2021年6月30日

影响成败的小细节

摘要: 一、前端的小细节 1、在做设计时,要写好设计文档。文档内容要条理清晰、简繁适中、图表齐全,同时做好历史记录、修订记录。 2、在设计芯片架构时,要考虑到出故障后,如何恢复芯片,让其能重新工作。 3、仿真时,对于做deglitch的逻辑,要验证零界点,即当deglitch 计数刚好到达阈值时,被degl 阅读全文

posted @ 2021-06-30 19:31 hxing 阅读(103) 评论(0) 推荐(0) 编辑

2021年4月6日

一个完整IC项目需要走过的流程

摘要: 第一阶段、设计准备 1. 需求分析:市场调研,同功能芯片功能比较。 2. 定架构:选择合适的CPU、总线类型、各类型IP(RAM、ROM、EEPROM、EFUSE、晶振、PLL)、接口(I2C、spi、uart、Jtag、swd)、 协议、算法、看门狗、定时器、中断、时钟复位管理、电源管理、 模拟电 阅读全文

posted @ 2021-04-06 23:00 hxing 阅读(1193) 评论(0) 推荐(1) 编辑

2021年3月28日

linux 下shell中if的“-e,-d,-f”是什么意思 ------ 转载

摘要: 转载自: https://blog.csdn.net/superbfly/article/details/49274889 文件表达式: -e filename 如果 filename存在,则为真 -d filename 如果 filename为目录,则为真 -f filename 如果 filen 阅读全文

posted @ 2021-03-28 17:24 hxing 阅读(732) 评论(0) 推荐(0) 编辑

2021年3月10日

低功耗设计 ----- clock gating

摘要: 转载:https://blog.csdn.net/l471094842/article/details/103631370?utm_medium=distribute.pc_relevant.none-task-blog-baidujs_title-4&spm=1001.2101.3001.4242 阅读全文

posted @ 2021-03-10 00:27 hxing 阅读(4689) 评论(0) 推荐(1) 编辑

2021年3月7日

linux使用c shell 、b shell 环境变量的问题 ------ 转载

摘要: 最近玩Linux虚拟机,遇到一个棘手的问题,跟下面帖子的问题如出一辙,遂转载这篇帖子,学而用之。 http://www.bubuko.com/infodetail-795095.html 背景: 昨天快下班时,每次赶燕郊班车的曹同学都会准时跑掉,可是都已经快7点了,曹同学还在座位无动于衷,这很反常, 阅读全文

posted @ 2021-03-07 23:07 hxing 阅读(817) 评论(0) 推荐(0) 编辑

2021年2月21日

设计中隐藏bug记录

摘要: 从今天开始,记录本人在设计中留下的隐藏bug,时常翻阅,谨记于心。 1. 项目中有一些保护事件,其中一个保护事件的级别最高。当该事件发生时,系统状态机进入保护模式,直到事件消失,再回到其他状态。 然而,因为一些其他原因,在该最高级别的事件发生时,引起了power on 复位,致使内部所有trimmi 阅读全文

posted @ 2021-02-21 00:11 hxing 阅读(148) 评论(0) 推荐(0) 编辑

上一页 1 2 3 4 5 6 7 ··· 9 下一页