hxing

拒绝思维懒惰,习惯深度思考,提升认知水平。

导航

上一页 1 2 3 4 5 6 ··· 9 下一页

2022年7月8日

create_generated_clock 的用法

摘要: 本文转载自:create_generated_clock_亓磊的博客-CSDN博客_create_generated_clock 文章目录 参数source和master_clock区别 create_generated_clock 介绍 create_generated_clock有多个maste 阅读全文

posted @ 2022-07-08 10:23 hxing 阅读(6255) 评论(0) 推荐(0) 编辑

2022年7月7日

Spyglass CDC工具使用(五)

摘要: 最近一直在搞CDC (clock domain crossing) 方面的事情,现在就CDC的一些知识点进行总结。 做CDC检查使用的是Spyglass工具。以下内容转载自:Spyglass之CDC检查(5)_yuzhong_沐阳的博客-CSDN博客_cdc检查 文章目录 AC_cdc01a AC_ 阅读全文

posted @ 2022-07-07 16:09 hxing 阅读(1491) 评论(0) 推荐(0) 编辑

Spyglass CDC工具使用(四)

摘要: 最近一直在搞CDC (clock domain crossing) 方面的事情,现在就CDC的一些知识点进行总结。 做CDC检查使用的是Spyglass工具。以下内容转载自:Spyglass之CDC检查(4) | 码农家园 (codenong.com) 文章目录 同步方法 哪些信号需要同步 常用同步 阅读全文

posted @ 2022-07-07 15:51 hxing 阅读(3509) 评论(0) 推荐(0) 编辑

Spyglass CDC工具使用(三)

摘要: 最近一直在搞CDC (clock domain crossing) 方面的事情,现在就CDC的一些知识点进行总结。 做CDC检查使用的是Spyglass工具。以下内容转载自:Spyglass之CDC检查(3) - 代码先锋网 (codeleading.com) 文章目录 Clock_info03a 阅读全文

posted @ 2022-07-07 15:28 hxing 阅读(1758) 评论(0) 推荐(0) 编辑

Spyglass CDC工具使用(二)

摘要: 最近一直在搞CDC (clock domain crossing) 方面的事情,现在就CDC的一些知识点进行总结。 做CDC检查使用的是Spyglass工具。以下内容转载自:Spyglass检查之CDC(2) | 码农家园 (codenong.com) 文章目录 Clock_info01 Reset 阅读全文

posted @ 2022-07-07 15:26 hxing 阅读(1264) 评论(0) 推荐(0) 编辑

2022年4月29日

常用功能语句归纳

摘要: 归纳一些常用的功能语句,方便在仿真中使用。 1. 文件的读取写入 task tc_temp;begin reg [15:0] data_0; reg [15:0] data_1; reg [15:0] data_2; integer js; integer file_wr_0; integer fi 阅读全文

posted @ 2022-04-29 09:48 hxing 阅读(184) 评论(0) 推荐(0) 编辑

2022年4月28日

PT的常用命令

摘要: 归纳常用的PT命令,便于工作中应用。 1. 报时序的命令 report_timing -from A -to [get_clocks {clkA}] -delay_type min report_timing -from B -to [get_ports {B}] -delay_type min m 阅读全文

posted @ 2022-04-28 14:32 hxing 阅读(1290) 评论(0) 推荐(0) 编辑

SDC细节归纳

摘要: 能否写出一份严谨的SDC约束文件,决定了芯片tapeout后数字电路能否正常工作,或者少一些bug。所以写好SDC约束文件,是芯片设计的关键一步。 因此,归纳、整理SDC约束的细节要点很重要,有助于减少出错的风险。 1.时钟group划分 create_clock -period xxxx [get 阅读全文

posted @ 2022-04-28 14:15 hxing 阅读(417) 评论(0) 推荐(0) 编辑

2022年2月17日

cvs 常见命令

摘要: 一、cvs上传一个新的工程到server 假如上传目录test到xxxx_project下1. copy test到xxxx_project2. 删除test目录及子目录下的CVS目录3. 在xxxx_project下输入命令:cvs add test4. 在test目录下输入命令:find . | 阅读全文

posted @ 2022-02-17 11:45 hxing 阅读(204) 评论(0) 推荐(0) 编辑

2022年2月10日

网表里修改寄存器默认值(二)

摘要: 在网表里修改寄存器默认值(一)里面,提到两种修改寄存器默认值的方式。我在我的项目里使用了第二种方式,即Q 跟 QN 端互换,D端取反。 同时,在RTL里修改了该寄存器的默认值。但是在做formality时仍然比对不过。百思不得其解,检查了又检查,依然找不到原因,遂请教了大牛。 经过大牛的查看,分析出 阅读全文

posted @ 2022-02-10 18:00 hxing 阅读(323) 评论(0) 推荐(0) 编辑

上一页 1 2 3 4 5 6 ··· 9 下一页