hxing

拒绝思维懒惰,习惯深度思考,提升认知水平。

导航

2022年4月28日

PT的常用命令

摘要: 归纳常用的PT命令,便于工作中应用。 1. 报时序的命令 report_timing -from A -to [get_clocks {clkA}] -delay_type min report_timing -from B -to [get_ports {B}] -delay_type min m 阅读全文

posted @ 2022-04-28 14:32 hxing 阅读(1306) 评论(0) 推荐(0) 编辑

SDC细节归纳

摘要: 能否写出一份严谨的SDC约束文件,决定了芯片tapeout后数字电路能否正常工作,或者少一些bug。所以写好SDC约束文件,是芯片设计的关键一步。 因此,归纳、整理SDC约束的细节要点很重要,有助于减少出错的风险。 1.时钟group划分 create_clock -period xxxx [get 阅读全文

posted @ 2022-04-28 14:15 hxing 阅读(427) 评论(0) 推荐(0) 编辑