hxing

拒绝思维懒惰,习惯深度思考,提升认知水平。

导航

PT的常用命令

归纳常用的PT命令,便于工作中应用。

1. 报时序的命令

     report_timing -from A -to  [get_clocks {clkA}]  -delay_type min

     report_timing -from B -to  [get_ports {B}]  -delay_type min

     min:表示报hold 的 时序,max:表示报setup的 时序 

     这个命令还有其他的选项,可以通过 man report_timing 来查看。

2. 查pin

     get_pins u_xxx/y    (u_xxx  为module 例化名称,y 为 pin的名称)

3. 查port

     get_ports z  (z 为top 层port 名称)

 

posted on 2022-04-28 14:32  hxing  阅读(1292)  评论(0编辑  收藏  举报