XINLIX 原语】XILINX 原语的使用之 IBUFDS 差分转单端、OBUFDS 单端转差分

摘要: https://blog.csdn.net/m0_61298445/article/details/124391770 https://blog.csdn.net/m0_61298445/article/details/124391770 阅读全文
posted @ 2025-08-08 11:18 taylorrrrrrrrrr 阅读(24) 评论(0) 推荐(0)

网络协议包文格式

摘要: ARP IP 数据报 UDP包文 阅读全文
posted @ 2025-08-07 16:43 taylorrrrrrrrrr 阅读(3) 评论(0) 推荐(0)

notepad++背景护眼色设置 VIVADO

摘要: 在Editor栏中填写notepad++的安装路径 + 空格 + [file name]注意:(1)安装路径中的斜杠是左斜杠,如果直接复制需要将右斜杠改为左斜杠,(2)一定要加空格 D:/Software/Notpad++/install\Notepad++/notepad++.exe [file 阅读全文
posted @ 2025-08-04 11:16 taylorrrrrrrrrr 阅读(124) 评论(0) 推荐(0)

Notepad++官网下载_notepad 官网下载

摘要: Notepad++官网下载_notepad 官网下载-CSDN博客 阅读全文
posted @ 2025-08-04 11:05 taylorrrrrrrrrr 阅读(13) 评论(0) 推荐(0)

led 跑流水灯差分输入时钟,quartus,

摘要: 差分时钟输入, 直接使用一端就行 module led ( input clk_p, // input clk_n, // input clk ,//125MHz--8ns //50Mhz --20ns input rst_n, output reg[3:0] led ); // // wire [ 阅读全文
posted @ 2025-08-01 17:21 taylorrrrrrrrrr 阅读(5) 评论(0) 推荐(0)

quartus 固化流程

摘要: 阅读全文
posted @ 2025-08-01 17:03 taylorrrrrrrrrr 阅读(4) 评论(0) 推荐(0)

systemverilog新增的always_comb,always_ff,和always_latch语句

摘要: 在Verilog中,设计组合逻辑和时序逻辑时,都要用到always: always @(*) //组合逻辑 if(a > b) out = 1; else out = 0; always @(posedge clk) //时序逻辑 flip-flop触发器 if(en) out <= in; 仅从关 阅读全文
posted @ 2025-07-30 16:29 taylorrrrrrrrrr 阅读(117) 评论(0) 推荐(0)

VIM

摘要: syntax enable set background=light colorscheme solarized set encoding=utf-8 set fileencodings=utf-8,ucs-bom,gb18030,gbk,gb2312,cp936 set hlsearch set 阅读全文
posted @ 2025-07-22 14:04 taylorrrrrrrrrr 阅读(38) 评论(0) 推荐(0)

Windows11之Vim超详细下载安装与使用教程

摘要: Windows11之Vim超详细下载安装与使用教程_vim下载-CSDN博客 阅读全文
posted @ 2025-07-22 10:29 taylorrrrrrrrrr 阅读(62) 评论(0) 推荐(0)

什么是PID

摘要: 三、 算法基础 步进电机的转动需要控制器发送脉冲,如果控制器以恒定速度发送脉冲,那么步进电机就以恒定速度转动;如果控制器以加速度发送脉冲,那么步进电机就以加速度运动;所以只要改变脉冲的频率 就可以改变速度的变化,也就是说调整脉冲之间的时间间隔就可以改变速度。 1、步进电机S型曲线加减速硬件配置说明_ 阅读全文
posted @ 2025-07-21 09:54 taylorrrrrrrrrr 阅读(22) 评论(0) 推荐(0)