上一页 1 2 3 4 5 6 7 8 ··· 20 下一页

2017年12月9日

(转)UVM挑战及概述

摘要: UVM的调度也具有其独特的挑战,尤其是在调试的领域。其中的一些挑战如下: 1. Phase的管理:objections and synchronization 2. 线程调试 3. Tracing issues through automatically generated code, macro 阅读全文

posted @ 2017-12-09 04:35 dpc525 阅读(1427) 评论(0) 推荐(0) 编辑

2017年12月6日

uvm_scoreboard——得分

摘要: scoreboard 是验证平台很重要的一部分,因为,验证就是给激励,然后,检查结果。而scoreboard 就是肩负这检查结果的重任。测试用例能不能过,全由scoreboard说了算。 A scoreboard is a verification component that contains c 阅读全文

posted @ 2017-12-06 15:16 dpc525 阅读(777) 评论(0) 推荐(0) 编辑

2017年11月30日

uvm_sequence_item——sequence机制(一)

摘要: 让子弹飞一会 UVM框架,将验证平台和激励分开,env以下属于平台部分,test和sequence属于激励,这样各司其职。我们可以将sequence_item 比喻成子弹,sequencer 类比成弹夹,UVM平台就是个枪。如图所示uvm_sequence 的类继承关系。 The sequence 阅读全文

posted @ 2017-11-30 20:04 dpc525 阅读(1780) 评论(0) 推荐(0) 编辑

uvm_transaction——事物

摘要: 文件: src/base/uvm_transaction.svh 类: uvm_transaction uvm_transaction继承自uvm_object,添加了timing和recording接口,该类是uvm_sequence_item的基类。这个类提供了时间戳属性(timestamp p 阅读全文

posted @ 2017-11-30 18:43 dpc525 阅读(749) 评论(0) 推荐(0) 编辑

uvm_marcos——UVM宏定义

摘要: I programmed all night.Through the window, on my screen,The rising sun shined.编程一整夜,透过窗户,照在屏幕上。初升的太阳。 计算机科学里的宏是一种抽象(Abstraction),它根据一系列预定义的规则替换一定的文本模式 阅读全文

posted @ 2017-11-30 13:45 dpc525 阅读(3891) 评论(0) 推荐(0) 编辑

uvm_pkg——老板,打包带走

摘要: Thus spake the master programmer: “After three day without programming, life becomes meaningless.” 编程大师曰:“倘若三天不编程,生活将变得毫无意义。” 在SV中引入了package 的概念,可以使多个 阅读全文

posted @ 2017-11-30 06:26 dpc525 阅读(1699) 评论(0) 推荐(0) 编辑

2017年11月29日

uvm.sv——UVM之道

摘要: 文件: $UVM_HOME/src/uvm.sv 类: 无 `include "uvm_pkg.sv" Thus spake the UVM master programmer: “when you have learned to snatch the error code from the uvm 阅读全文

posted @ 2017-11-29 21:18 dpc525 阅读(347) 评论(0) 推荐(0) 编辑

uvm_comps.svh

摘要: UVM的文件组织方式很有意思,比如,在src/comps/ 下的所有文件都通过uvm_comps.svh 包含进去。 阅读全文

posted @ 2017-11-29 16:44 dpc525 阅读(239) 评论(0) 推荐(0) 编辑

uvm_subscriber——告诉她我们来过

摘要: Subscribers are basically listeners of an analysis port. They subscribe to a broadcaster and receive objects whenever an item is broadcasted via the c 阅读全文

posted @ 2017-11-29 16:28 dpc525 阅读(785) 评论(0) 推荐(0) 编辑

uvm_monitor——借我一双慧眼

摘要: monitor 用来捕获(监视)和检查总线的信号是否满足预期的要求。所有的user_monitor 继承自uvm_monitor,uvm_monitor继承自uvm_component,从源代码来看里面没有做什么工作,那为什么又费力去做这么一件事,这么做的原因是让不同的模块做不同事,从名字就可以区分 阅读全文

posted @ 2017-11-29 16:08 dpc525 阅读(514) 评论(0) 推荐(0) 编辑

上一页 1 2 3 4 5 6 7 8 ··· 20 下一页

导航