上一页 1 2 3 4 5 6 7 ··· 20 下一页

2017年12月11日

uvm_reg_sequence——寄存器模型(六)

摘要: 寄存器模型 uvm_reg_sequence是UVM自带所有register sequence 的基类。 该类包含model, adapter, reg_seqr(uvm_sequencer). 感觉寄存器模型是个小的UVM系统。有自己uvm_reg_item, uvm_reg_sequence,r 阅读全文

posted @ 2017-12-11 21:53 dpc525 阅读(1758) 评论(0) 推荐(0) 编辑

uvm_reg_item——寄存器模型(五)

摘要: uvm_reg_item 扩展自uvm_sequence_item,也就说寄存器模型定义了transaction item. adapter 的作用是把这uvm_reg_item转换成uvm_sequence_item,再经由uvm_sequencer发送个uvm_driver,最终在总线上传输。 阅读全文

posted @ 2017-12-11 21:34 dpc525 阅读(1674) 评论(0) 推荐(0) 编辑

uvm_reg_defines——寄存器模型(四)

摘要: 文件: src/marcos/uvm_reg_defines 类: 无 该文件是寄存器模型src/reg/* 文件对于的宏文件,主要定义了寄存器地址位宽,寄存器数据位宽,字节的大小。计算机从最初的8,发展到16bit(过度阶段), 到32bit, 目前的主流是64bit(AMD 烧起来64位计算机的 阅读全文

posted @ 2017-12-11 21:16 dpc525 阅读(722) 评论(0) 推荐(0) 编辑

uvm_reg——寄存器模型(三)

摘要: uvm_reg 是uvm_reg_field , 包含所有uvm_reg_field 所有的函数。 阅读全文

posted @ 2017-12-11 21:05 dpc525 阅读(570) 评论(0) 推荐(0) 编辑

uvm_reg_field——寄存器模型(二)

摘要: uvm_reg_field是最基本寄存器单元。 阅读全文

posted @ 2017-12-11 20:48 dpc525 阅读(1316) 评论(0) 推荐(0) 编辑

uvm_reg_model——寄存器模型(一)

摘要: 对于一个复杂设计,寄存器模型要能够模拟任意数量的寄存器域操作。UVM提供标准的基类库,UVM的寄存器模型来自于继承自VMM的RAL(Register Abstract Layer),现在可以先将寄存器模型进行XML建模,再通过脚本工具直接生产寄存器模型。首先来看看uvm_reg_model的代码,该 阅读全文

posted @ 2017-12-11 20:31 dpc525 阅读(3459) 评论(0) 推荐(0) 编辑

2017年12月9日

uvm_verision——告诉我你几岁了?

摘要: uvm_version 定义了UVM相关的版本信息,而具体的uvm_revision则是通过在src/macros/uvm_version_defines.svh实现的。 uvm_revision_string()被uvm_root::report_handler() 函数调用。本函数仅返回uvm的 阅读全文

posted @ 2017-12-09 20:27 dpc525 阅读(581) 评论(0) 推荐(0) 编辑

uvm_globals——告诉这个世界我爱你

摘要: uvm_globals.svh 存放全局的变量和方法。当UVM平台启动时,便在uvm_globals查找相应的方法,uvm_globals 的方法实现也比较简单,就是调用uvm_root对应的方法。其简略源代码如下: 参考文献: 1 uvm_globals. http://blog.sina.com 阅读全文

posted @ 2017-12-09 20:13 dpc525 阅读(700) 评论(0) 推荐(0) 编辑

uvm_base——打好你的基础

摘要: uvm_base 是个很有意思的文件,这是UVM很巧妙的设计,将所有在base中包含的文件都包含在uvm_base.svh, 这样很方便管理各个文件直接的关系,而且还可以看出一些我之前没看过的东西,比如,文件之间的这种联系。 阅读全文

posted @ 2017-12-09 19:14 dpc525 阅读(445) 评论(0) 推荐(0) 编辑

uvm_misc——杂货铺(miscellaneous)

摘要: uvm_misc 是个很有意思的文件夹,本质上就是个UVM的杂货铺,包含一些很重要的class, task, function, 但不知道给归类到哪儿,所以,uvm_misc就很好地承担了这个任务,几乎所有的设计都会遇到这种情况。在uvm_misc中有uvm_void 的定义,uvm_status_ 阅读全文

posted @ 2017-12-09 18:55 dpc525 阅读(453) 评论(0) 推荐(0) 编辑

上一页 1 2 3 4 5 6 7 ··· 20 下一页

导航