上一页 1 2 3 4 5 6 7 8 9 ··· 20 下一页

2017年11月28日

uvm_object ——太极

摘要: 无极生太极——无名天地之始 太极生两仪——有名万物之母 文件: $UVM_HOME/src/base/uvm_object.svh 类: uvm_object The uvm_object class is the base class for all UVM data and hierarchic 阅读全文

posted @ 2017-11-28 16:07 dpc525 阅读(328) 评论(0) 推荐(0) 编辑

uvm_driver——老司机带带我

摘要: 文件:src/comps/uvm_driver.svh类: uvm_driver uvm_driver继承(C++中叫继承)自uvm_component,其中定义了两个Ports:seq_item_port,driver一般用这个接口向sequencer索要sequence。rsp_port,dri 阅读全文

posted @ 2017-11-28 05:00 dpc525 阅读(766) 评论(0) 推荐(0) 编辑

uvm_agent——007(特工)

摘要: 詹姆斯·邦德作为007的代言人,很好地诠释了agent的含义。但是在计算机系统中agent(代理)指能自主活动的软件或者硬件实体。在UVC中agent作为容器,实例化VIP的所有模块包括driver, monitor, sequencer等等。现在然我们揭开它的神秘面目。 这里面最重要的变量是is_ 阅读全文

posted @ 2017-11-28 04:11 dpc525 阅读(561) 评论(0) 推荐(0) 编辑

2017年11月26日

uvm_config_db——半个全局变量

摘要: UVM中的配置机制uvm_config_db,uvm_config_db机制用于在UVM平台间传递参数。它们通常是成对出现的,set 寄信,而get函数是收信。config 机制大大提高了UVM的验证灵活性。例如module 和 class 连接通过config_db virtual interac 阅读全文

posted @ 2017-11-26 22:26 dpc525 阅读(1302) 评论(0) 推荐(0) 编辑

2017年11月20日

uvm_factory——我们的工厂(三)

摘要: 现在让我们回过头来想想factory 是用来干什么,它做了什么? fantory就是生产uvm_object 和 uvm_component。用factory 生产和用SV直接new有什么区别了? factory机制的的特点就是根据类的名字来创建类的实例。 uvm_object 在定义时调用 uvm 阅读全文

posted @ 2017-11-20 22:22 dpc525 阅读(357) 评论(0) 推荐(0) 编辑

uvm_env——UVM大环境(UVM Environment )

摘要: 1 What is uvm_env? uvm_env is used to create and connect the uvm_components like driver, monitors , sequeners etc. A environment class can also be use 阅读全文

posted @ 2017-11-20 18:38 dpc525 阅读(874) 评论(0) 推荐(0) 编辑

uvm_test——测试用例的起点

摘要: 在UVM平台验证中,所有的test cases都extends uvm_test,首先,来看源代码 除了new() method之外,什么都没有。所有的test case 都扩展自uvm_test.一般的做法: class default_test extends uvm_test endclass 阅读全文

posted @ 2017-11-20 17:32 dpc525 阅读(3922) 评论(0) 推荐(0) 编辑

uvm_factory——我们的工厂(二)

摘要: 上节我们说到uvm_object_registry #(T),uvm_object_reistry 又继承自uvm_object_wrapper,所以首先,让我们先看看它爹是啥样子的: 从代码注释来看,都是虚类,这只是轻量级的代理proxy, 它只负责搭台,具体实现让子类去实现,也就是所在uvm中c 阅读全文

posted @ 2017-11-20 13:06 dpc525 阅读(634) 评论(0) 推荐(0) 编辑

uvm_factory——我们的工厂(一)

摘要: factory 机制是实现(功能):通过一个字符串来创建此字符串所代表的的类的一个实例。 factory 的使用方法: 在object 和component 实例化时用`uvm_object_utils(packet)和`uvm_component_utils(comp)进行注册,然后,使用driv 阅读全文

posted @ 2017-11-20 11:30 dpc525 阅读(729) 评论(0) 推荐(0) 编辑

2017年11月19日

run_test() 验证平台的入口

摘要: Run,just run! ——阿甘正传 一个简单的例子: 1 module tb_top; 2 dut u_dut (); 3 4 initial begin 5 run_test(); 6 end 7 8 config_db #()::set(); 9 10 endmoudle UVM验证平台从 阅读全文

posted @ 2017-11-19 14:30 dpc525 阅读(5249) 评论(0) 推荐(0) 编辑

上一页 1 2 3 4 5 6 7 8 9 ··· 20 下一页

导航