2017年11月30日

uvm_sequence_item——sequence机制(一)

摘要: 让子弹飞一会 UVM框架,将验证平台和激励分开,env以下属于平台部分,test和sequence属于激励,这样各司其职。我们可以将sequence_item 比喻成子弹,sequencer 类比成弹夹,UVM平台就是个枪。如图所示uvm_sequence 的类继承关系。 The sequence 阅读全文

posted @ 2017-11-30 20:04 dpc525 阅读(1791) 评论(0) 推荐(0) 编辑

uvm_transaction——事物

摘要: 文件: src/base/uvm_transaction.svh 类: uvm_transaction uvm_transaction继承自uvm_object,添加了timing和recording接口,该类是uvm_sequence_item的基类。这个类提供了时间戳属性(timestamp p 阅读全文

posted @ 2017-11-30 18:43 dpc525 阅读(752) 评论(0) 推荐(0) 编辑

uvm_marcos——UVM宏定义

摘要: I programmed all night.Through the window, on my screen,The rising sun shined.编程一整夜,透过窗户,照在屏幕上。初升的太阳。 计算机科学里的宏是一种抽象(Abstraction),它根据一系列预定义的规则替换一定的文本模式 阅读全文

posted @ 2017-11-30 13:45 dpc525 阅读(3904) 评论(0) 推荐(0) 编辑

uvm_pkg——老板,打包带走

摘要: Thus spake the master programmer: “After three day without programming, life becomes meaningless.” 编程大师曰:“倘若三天不编程,生活将变得毫无意义。” 在SV中引入了package 的概念,可以使多个 阅读全文

posted @ 2017-11-30 06:26 dpc525 阅读(1705) 评论(0) 推荐(0) 编辑

导航