uvm_pkg——老板,打包带走

Thus spake the master programmer: “After three day without programming, life becomes meaningless.”
编程大师曰:“倘若三天不编程,生活将变得毫无意义。”
 
  在SV中引入了package 的概念,可以使多个模块进行数据类型共享,使用作用域解析符对包进行引用(::),从UVM 的包总来看主要包括:uvm_macros包含UVM的所有宏定义,dpi目录定义外部语言接口,base包UVM中基础的class,dap是Data Access Policy, tlm1 包含Tranaction layer model 1的类定义。comps定义所有UVM中所用的component. seq目录是sequence机制的实现,TLM2则是对TLM2机制的实现,reg包含寄存器模型的实现。
 
`ifndef UVM_PKG_SV
`define UVM_PKG_SV

`include "uvm_macros.svh"

package uvm_pkg;

  `include "dpi/uvm_dpi.svh"
  `include "base/uvm_base.svh"
  `include "dap/uvm_dap.svh"
  `include "tlm1/uvm_tlm.svh"
  `include "comps/uvm_comps.svh"
  `include "seq/uvm_seq.svh"
  `include "tlm2/uvm_tlm2.svh"
  `include "reg/uvm_reg_model.svh"

endpackage

`endif

 

posted on 2017-11-30 06:26  dpc525  阅读(1704)  评论(0编辑  收藏  举报

导航