上一页 1 2 3 4 5 6 7 8 9 ··· 17 下一页
摘要: ctrl+ shift+8 insert section break 阅读全文
posted @ 2018-08-14 10:29 喵喵小学僧 阅读(1599) 评论(0) 推荐(0)
摘要: AR# 63960 FIFO Generator v12.0 - [Common 17-55] 'get_property' expects at least one object.[axis_fifo_32x16_clocks.xdc] </form> AR# 63960 FIFO Generat 阅读全文
posted @ 2018-07-27 11:20 喵喵小学僧 阅读(1856) 评论(0) 推荐(0)
摘要: use ieee.numeric_std.all; 阅读全文
posted @ 2018-07-02 11:00 喵喵小学僧 阅读(2175) 评论(0) 推荐(0)
摘要: 在VHDL中,IF...THEN...ELSE是顺序语句,只能出现在行为描述中(进程体或者子程序中);而WHEN...ELSE是并行语句,可以直接出现在结构体中,但却不能出现在行为描述中。WHEN...ELSE等效于一个进程体为IF...THEN...ELSE语句的进程。 四选一 library I 阅读全文
posted @ 2018-07-01 00:32 喵喵小学僧 阅读(13049) 评论(0) 推荐(0)
摘要: 一个signal、 reg 不能同时在两个always 或者 process 中,synth 8-3352 阅读全文
posted @ 2018-06-27 15:06 喵喵小学僧 阅读(138) 评论(0) 推荐(0)
摘要: TYPE matrix_index is array (511 downto 0) of std_logic_vector(7 downto 0);signal cnt_freq : matrix_index; 阅读全文
posted @ 2018-06-22 21:22 喵喵小学僧 阅读(1447) 评论(0) 推荐(0)
摘要: 低压差分传送技术是基于低压差分信号(Low Volt-agc Differential signaling)的传送技术,从一个电路板系统内的高速信号传送到不同电路系统之间的快速数据传送都可以应用低压差分传送技术来实现,其应用正变得越来越重要。低压差分信号相对于单端的传送具有较高的噪声抑制功能,其较低 阅读全文
posted @ 2018-06-15 15:22 喵喵小学僧 阅读(7949) 评论(0) 推荐(0)
摘要: AR# 60131 Vivado Placer - [Place 30-378] Input pin of input buffer has an illegal connection to a logic constant value </form> AR# 60131 Vivado Placer 阅读全文
posted @ 2018-06-13 15:10 喵喵小学僧 阅读(3695) 评论(0) 推荐(0)
摘要: rising_eage falling_eage clock'event and clock='1' 两种方式 阅读全文
posted @ 2018-06-13 09:53 喵喵小学僧 阅读(239) 评论(0) 推荐(0)
摘要: Description "opt_design" can fail with error messages similar to the following: opt_design failedERROR: [Chipscope 16-119] Implementing debug core dbg 阅读全文
posted @ 2018-06-11 14:16 喵喵小学僧 阅读(4183) 评论(0) 推荐(0)
上一页 1 2 3 4 5 6 7 8 9 ··· 17 下一页