python解释器的提示符是>>>,而...则说明需要继续输入。python的注释是以#开始的。当然,如果#只是简单地出现在字符串中,那么,它只是表示‘#’这个字符,而不是表示注释了。python解释器一个简单易用的功能就是作为计算器(calculator),可以进行一些计算,如加,减,乘,除,乘方,求余等。这些跟其他语言是比较类似的,如c,java。这里例子不举了,只说下python3中除法,注意,这里针对的是python3版本,python2是有不同的处理的。单斜杠(/)除法在python3中叫做true division,即它得到结果总是准确的。我们知道,c语言中整数除 Read More
posted @ 2012-12-25 01:22 露初晞 Views(334) Comments(0) Diggs(0)
python3的安装这里略过。随unix一起的一般是python2.x,python3默认是没有的。自己安装完以后,可在/usr/bin或/usr/local/bin建立一个链接python3指向python3的真实安装位置。这样的话,python还是指向默认的python2.x,python3则指向python3.x,两者并存,不会导致冲突。windows下一般安装在C盘下,将python的位置加到系统路径中。python解释器的退出unix下使用Ctr+D,windows下使用Ctr+Z退出。这种退出方式的返回值是0,表明正常退出。另外,可在解释器中执行quit()命令退出。参数传递参数列 Read More
posted @ 2012-12-21 00:41 露初晞 Views(269) Comments(0) Diggs(0)
最近准备比较系统地学习python3。参考资料就用python官网的docs及其tutorial。通过博客,一方面学习python的基础知识,另一方面是及时地进行总结,顺便提高下写作能力吧。平时文档实在写的太少啦。python3的文档官网:http://docs.python.org/3python语言本身很方便,灵活,以及全面。它既可以像shell脚本语言一样方便,快捷地管理系统,如批量移动文件,批量重命名文件等,完全胜任一些日常管理任务。它也可以像c语言一样面向过程编程,python的面向对象特性是个可选项,即使不用也可以发挥很大的作用。python非常适用于编写原型系统,或者说做个mod Read More
posted @ 2012-12-18 23:29 露初晞 Views(184) Comments(0) Diggs(0)
http://faculty.simpson.edu/lydia.sinapova/www/cmsc365/LN365_Lewis/L03-Countability.htm#diagThe diagonalization principle is based on a simple observation.Let A be a finite set, and R be a binary relation on A. We can represent the relation by a square table, rows and columns representing the element Read More
posted @ 2012-12-18 09:00 露初晞 Views(557) Comments(0) Diggs(0)
此时仍相当于有个上升沿。初始值为4'b0000。`timescale 1ns / 1psmodule add( // inputs clk, // outputs sum );input wire clk;output reg [3:0] sum;initial begin sum = 0;endalways @ (posedge clk) begin sum = sum + 1;end endmodulemodule test_add();reg clk;wire [3:0] sum;always begin clk = 1; #1... Read More
posted @ 2011-08-22 20:41 露初晞 Views(420) Comments(0) Diggs(0)
按值传递。按指针传递:实质上还是按值传递,传递时指针复制一份。按引用传递:效果相当于传递的就是变量的地址(在存储器中的地址),没有复制。 Read More
posted @ 2011-08-07 21:19 露初晞 Views(179) Comments(0) Diggs(0)
module divide_frequency( );reg clk;reg d_clk;reg [2:0] cnt;initial begin clk = 1; cnt = -1; d_clk = 1;endalways begin #10 clk = ~clk;endalways @ (clk) begin cnt = cnt + 1; if(cnt == 4) begin d_clk = ~d_clk; cnt = 0; endendalways @ (d_clk) begin $display("at time %t, ", $time, "d_clk = Read More
posted @ 2011-07-19 12:59 露初晞 Views(218) Comments(0) Diggs(0)
不允许扩展的类被称为final类。如果在定义类的时候使用了final修饰符就表明这个类是final类。类中的方法也可以被声明为final,这样子类就不能覆盖这个方法(final类中的所有方法自动地成为final方法)。对于final域来说,构造对象之后就不允许改变它们的值。如果将一个类声明为final,只有其中的方法自动地成为final,而不包括域。将方法或类声明为final主要鉴于以下原因:确保它们不会在子类中改变语义。 Read More
posted @ 2011-07-11 10:51 露初晞 Views(327) Comments(0) Diggs(0)
1 `timescale 1ns / 1ps 2 ////////////////////////////////////////////////////////////////////////////////// 3 // Company: 4 // Engineer: 5 // 6 // Create Date: 21:32:09 07/08/2011 7 // Design Name: 8 // Module Name: decoder2x4 9 // Project Name: 10 // Target Devices: 11 // Tool versions: 12 // Desc. Read More
posted @ 2011-07-10 21:22 露初晞 Views(357) Comments(0) Diggs(0)
所有数据域被初始化为默认值(0、false或null)。按照在类声明中出现的次序,依次执行所有域初始化语句(如:private String name = "";)和初始化块(如:{ id = 1; name = "guest";})。如果构造器第一行调用了第二个构造器,则执行第二个构造器主体。执行这个构造器的主体。 Read More
posted @ 2011-07-10 20:26 露初晞 Views(176) Comments(0) Diggs(1)