上一页 1 2 3 4 5 6 7 8 ··· 13 下一页
摘要: 前言 在设计流程中,可能有的模块是不使用的,但某时候可能需要使用。 不同代码段的选择就可以使用条件编译。 流程 使用`define和`ifdef `else `endif语句实现此功能。 `timescale 1ns/1ps `define SIM_USE //定义SIM_USE,如果取消定义,注释 阅读全文
posted @ 2020-01-15 11:06 小翁同学 阅读(2354) 评论(0) 推荐(0) 编辑
摘要: 前言 bit文件和ltx文件的信号位宽不匹配问题。用了dont_touch等属性没用。。。 WARNING: [Labtools 27-1972] Mismatch between the design programmed into the device xc7z035 (JTAG device 阅读全文
posted @ 2019-12-19 17:11 小翁同学 阅读(4530) 评论(0) 推荐(1) 编辑
摘要: 1.cat:拼接两个数组。 2.FFT:对矩阵的不同维度进行FFT Y = fft(X,n,dim); X表示矩阵,n表示点数,dim表示维度。 dim=1,表示按列FFT。dim=2表示按行FFT。 ch0_two_fft = abs(fft(mti_data_ch0(:,:),16,2)); 3 阅读全文
posted @ 2019-12-13 20:14 小翁同学 阅读(963) 评论(0) 推荐(0) 编辑
摘要: 前言 vscode的默认变量选中全局高亮根本看不清楚下一个变量高亮在哪。。。。。。 如下图。 框的颜色实在是差强人意。 流程 增加了新的方法,无需装插件。 旧方法: (1)安装插件:highlight-icemode (2)配置插件:打开用户设置,找到 highlight-icemode 配置项,根 阅读全文
posted @ 2019-11-29 14:16 小翁同学 阅读(32305) 评论(0) 推荐(5) 编辑
摘要: 前言 使用matlab中title语句,直接敲下划线无法正确显示。 title('MSB_data'); 流程 使用如下语句即可:直接字符串转译 title('MSB_data','Interpreter','none'); 以上。 阅读全文
posted @ 2019-11-29 10:36 小翁同学 阅读(1392) 评论(0) 推荐(0) 编辑
摘要: 前言 excel2016打开文件为空白的界面,明显不正常。 解决方法 https://blog.csdn.net/b2345012/article/details/94134401 以上。 阅读全文
posted @ 2019-10-15 19:37 小翁同学 阅读(1318) 评论(0) 推荐(0) 编辑
摘要: 前言 无论是先安装office2016还是visio2016,均不能成功。 而office2016和visio2016的镜像文件是一样的,只是名称不一样,只需下载其一即可。 旧方法: 具体参考链接 https://www.cnblogs.com/BillyYoung/p/9324677.html 新 阅读全文
posted @ 2019-10-15 09:03 小翁同学 阅读(2780) 评论(0) 推荐(0) 编辑
摘要: 前言 对于包含PS和PL的设计,两者的数据交互PL必然会用到PS端的时钟。 对于FCLK(PS端时钟输入到PL端)的约束,此时钟的基础约束已在IP中产生。以下想约束其异步时钟的时钟组特性。 注意事项:FCLK的名字在综合时不可见,在适配阶段才可见,所以对于约束文件的属性需要选择只在适配阶段有效,否则 阅读全文
posted @ 2019-10-08 17:51 小翁同学 阅读(2281) 评论(0) 推荐(0) 编辑
摘要: 参考链接 https://blog.csdn.net/dimples_song/article/details/81391615 前言 为了不每次都重新生成block design,避免重复劳动。 可以使用直接复制原始工程的design bd块或者使用tcl脚本生成bd。 流程 复制原始工程的bd文 阅读全文
posted @ 2019-09-29 11:14 小翁同学 阅读(5458) 评论(1) 推荐(0) 编辑
摘要: 前言 在Block design中引出AXI接口给外部,检查设计告警如下: [BD 41-968] AXI interface port /axi_lite4 is not associated to any clock port. It may not work correctly. Please 阅读全文
posted @ 2019-09-27 10:01 小翁同学 阅读(4697) 评论(0) 推荐(1) 编辑
上一页 1 2 3 4 5 6 7 8 ··· 13 下一页