上一页 1 2 3 4 5 6 ··· 20 下一页

2017年12月12日

uvm_reg_predictor——寄存器模型(十七)

摘要: 这是寄存器模型类中唯一派生自uvm_component的类,我们的寄存器模式需要实时,以最接近的方式知道DUT中寄存器的变化,uvm_reg_predictor就是为这个而生的。 阅读全文

posted @ 2017-12-12 14:34 dpc525 阅读(2098) 评论(0) 推荐(0) 编辑

uvm_reg_cbs——寄存器模型(十六)

摘要: 当你完成寄存器模型的时候,你就会想到给后来的人一个接口,给他更多的扩展,让他做更多的事,一般而言,只有做VIP时,会想到做callbacks. 阅读全文

posted @ 2017-12-12 14:23 dpc525 阅读(491) 评论(0) 推荐(0) 编辑

uvm_reg_fifo——寄存器模型(十五)

摘要: 当我们对寄存器register, 存储器memory, 都进行了建模,是时候对FIFO进行建模了 uvm_reg_fifo毫无旁贷底承担起了这个责任,包括:set, get, update, read, write, 等等函数。 阅读全文

posted @ 2017-12-12 14:12 dpc525 阅读(841) 评论(0) 推荐(0) 编辑

2017年12月11日

uvm_reg_file——寄存器模型(十四)

摘要: 有了uvm_reg_field, uvm_reg, uvm_block, 也许我们需要跟大的uvm_file,这就是传说中的寄存器堆。 阅读全文

posted @ 2017-12-11 22:17 dpc525 阅读(542) 评论(0) 推荐(0) 编辑

uvm_mem_mam——寄存器模型(十三)

摘要: 有了存储器模型,再来看看存储器的管理 阅读全文

posted @ 2017-12-11 22:14 dpc525 阅读(1029) 评论(0) 推荐(0) 编辑

uvm_mem——寄存器模型(十二)

摘要: 看完了寄存器,再来看看存储器: 阅读全文

posted @ 2017-12-11 22:12 dpc525 阅读(2089) 评论(0) 推荐(0) 编辑

uvm_reg_predictor——寄存器模型(十一)

摘要: 保存寄存器的值 观察DUT寄存器值的变化。 阅读全文

posted @ 2017-12-11 22:09 dpc525 阅读(503) 评论(0) 推荐(0) 编辑

uvm_reg_backdoor——寄存器模型(十)

摘要: 寄存器有前门和后门两种访问方式,这儿只看后门访问方式 阅读全文

posted @ 2017-12-11 22:07 dpc525 阅读(788) 评论(0) 推荐(0) 编辑

uvm_reg_map——寄存器模型(八)

摘要: 所有的寄存器都需要地址,都需要加入到地址列表中 阅读全文

posted @ 2017-12-11 22:02 dpc525 阅读(1655) 评论(0) 推荐(0) 编辑

uvm_reg_block——寄存器模型(七)

摘要: 这是寄存器模型的顶层 阅读全文

posted @ 2017-12-11 22:00 dpc525 阅读(699) 评论(0) 推荐(0) 编辑

上一页 1 2 3 4 5 6 ··· 20 下一页

导航