2017年12月12日

uvm_reg_predictor——寄存器模型(十七)

摘要: 这是寄存器模型类中唯一派生自uvm_component的类,我们的寄存器模式需要实时,以最接近的方式知道DUT中寄存器的变化,uvm_reg_predictor就是为这个而生的。 阅读全文

posted @ 2017-12-12 14:34 dpc525 阅读(2103) 评论(0) 推荐(0) 编辑

uvm_reg_cbs——寄存器模型(十六)

摘要: 当你完成寄存器模型的时候,你就会想到给后来的人一个接口,给他更多的扩展,让他做更多的事,一般而言,只有做VIP时,会想到做callbacks. 阅读全文

posted @ 2017-12-12 14:23 dpc525 阅读(491) 评论(0) 推荐(0) 编辑

uvm_reg_fifo——寄存器模型(十五)

摘要: 当我们对寄存器register, 存储器memory, 都进行了建模,是时候对FIFO进行建模了 uvm_reg_fifo毫无旁贷底承担起了这个责任,包括:set, get, update, read, write, 等等函数。 阅读全文

posted @ 2017-12-12 14:12 dpc525 阅读(841) 评论(0) 推荐(0) 编辑

导航