禾雨

导航

2020年1月9日 #

覆盖率文件

摘要: 使用工具URG(Unified Report Generator)产生html格式文件。 urg -dir dir1 [urg_option] -dbname merge 使用DVE的GUI界面。 dve -cov -dir <simv.vdb> 阅读全文

posted @ 2020-01-09 14:50 禾雨 阅读(306) 评论(0) 推荐(0) 编辑

makefile显示时间格式

摘要: 参考:http://blog.csdn.net/machinecat0898/article/details/7804988 原文: 编写Windows批处理时经常会需要使用到日期和时间作为文件名,所以是非常重要的。 如何获取日期呢? 格式: %date% 结果: 2012-07-31 如何获取时间 阅读全文

posted @ 2020-01-09 14:26 禾雨 阅读(1049) 评论(0) 推荐(0) 编辑

2020年1月8日 #

OSI层次框架——开放系统互联(Open System Interconnection)

摘要: 扩展资料: OSI模型各层功能定义 1、应用层:OSI参考模型中最靠近用户的一层,是为计算机用户提供应用接口,也为用户直接提供各种网络服务。我们常见应用层的网络服务协议有:HTTP,HTTPS,FTP,POP3、SMTP等。 2、表示层:表示层提供各种用于应用层数据的编码和转换功能,确保一个系统的应 阅读全文

posted @ 2020-01-08 21:57 禾雨 阅读(600) 评论(0) 推荐(0) 编辑

systemverilog数据类型

摘要: 1,logic类型: verilog中最常使用的数据类型是变量(reg)和线网(wire),在编码或测试的时候经常需要区分两种数据类型的不同使用方法。在SV中定义logic可以取代这两种数据类型。 logic不仅能够作为变量,而且可以被连续赋值,门单元和模块所驱动。但是logic不能够被多个结构体驱 阅读全文

posted @ 2020-01-08 21:55 禾雨 阅读(2364) 评论(0) 推荐(0) 编辑

2019年12月17日 #

UVM中的通信方式

摘要: 除了blocking_put和blocking_get系列端口相关的PORT、EXPORT、IMP之外,UVM还有两种特殊的端口:analysis_port和analysis_export。这两者其实与put和get系列端口类似,都用于传递transaction。 它们的区别是: 第一,默认情况下, 阅读全文

posted @ 2019-12-17 12:03 禾雨 阅读(430) 评论(0) 推荐(0) 编辑

2019年12月16日 #

联合数组在UVM中

摘要: 联合数组是SystemVerilog中定义的一种非常有用的数据类型,在验证平台中经常使用。UVM对其提供了良好的支持,与联合数组相关的uvm_field宏有: `define uvm_field_aa_int_string(ARG, FLAG) `define uvm_field_aa_string 阅读全文

posted @ 2019-12-16 14:13 禾雨 阅读(1288) 评论(0) 推荐(0) 编辑

2019年12月13日 #

run_test()

摘要: UVM提供对不加参数的run_test的支持: 摘自top_tb.sv: initial begin run_test(); end 在这种情况下,UVM会利用UVM_TESTNAME从命令行寻找测试用例的名字,创建它的实例并运行。 run_test()例化的是什么? 实际上UVM真正的树根是uvm 阅读全文

posted @ 2019-12-13 09:39 禾雨 阅读(1894) 评论(0) 推荐(0) 编辑

2019年12月12日 #

与uvm_componentt相关的factory宏

摘要: 1、uvm_component_utils:它用于把一个直接或间接派生自uvm_component的类注册到factory中。 2、uvm_component_param_utils:它用于把一个直接或间接派生自uvm_component的参数化的类注册到factory中。 3、uvm_compon 阅读全文

posted @ 2019-12-12 17:44 禾雨 阅读(537) 评论(0) 推荐(0) 编辑

与uvm_object相关的factory宏

摘要: 与uvm_object相关的factory宏: 1、uvm_object_utils:它用于把一个直接或间接派生自uvm_object的类注册到factory中; 2、uvm_object_parma_utils:它用于把一个直接或间接派生自uvm_object的参数化的类注册到factory中。所 阅读全文

posted @ 2019-12-12 17:35 禾雨 阅读(540) 评论(0) 推荐(0) 编辑

config

摘要: 所有的config一般直接从uvm_object派生。config的主要功能就是规范验证平台的行为方式。 如规定driver在读取总线时地址信号要持续几个时钟,片选信号从什么时候开始有效等。 config_db机制功能非常强大,能在不同层次对同一参数实现配置。但它的一个致命弱点是,其set函数的第二 阅读全文

posted @ 2019-12-12 17:14 禾雨 阅读(861) 评论(0) 推荐(0) 编辑