禾雨

导航

2019年12月13日 #

run_test()

摘要: UVM提供对不加参数的run_test的支持: 摘自top_tb.sv: initial begin run_test(); end 在这种情况下,UVM会利用UVM_TESTNAME从命令行寻找测试用例的名字,创建它的实例并运行。 run_test()例化的是什么? 实际上UVM真正的树根是uvm 阅读全文

posted @ 2019-12-13 09:39 禾雨 阅读(1896) 评论(0) 推荐(0) 编辑