禾雨

导航

与uvm_object相关的factory宏

与uvm_object相关的factory宏:

1、uvm_object_utils:它用于把一个直接或间接派生自uvm_object的类注册到factory中;

2、uvm_object_parma_utils:它用于把一个直接或间接派生自uvm_object的参数化的类注册到factory中。所谓参数化的类,是指类似于以下的类:

       class A#(int  WIDTH=32) extends uvm_object;如果允许,尽可能使用参数化的类,它可以提高代码的可移植性。

3、uvm_object_utils_begin(my_object)

      uvm_object_utils_end: 当使用field_automation机制时,需要使用此宏。

4、uvm_object_param_utils_begin(my_object)

      uvm_object_param_utils_end

 

posted on 2019-12-12 17:35  禾雨  阅读(550)  评论(0编辑  收藏  举报