quartus ii 10.0后就没有自带的仿真软件,每次写完一个VerilogHDL都想简单仿真一下,结果发现没有了自带仿真软件。这时候就需要第三方仿真软件ModelSim 10.1 SE。

 

  Quartus ii安装与破解

  1、下载Quartus ii 和Quartus ii 破解补丁。下载地址找百度,百度不到就到官网注册下载。

 

  2、首先,安装quartus ii 。next-->next-->finish。64位系统安装64位的,32位的装32位的,还有一个问题就是quartus ii 14.0以后都只有64位的了,建议2G内存以下的就不要装64位系统了,以上的能升级就升级64位的。

 

  3、解压Quartus ii破解补丁。

 

  4、里面有个Quartus ii XXX.exe文件,经常被认为是病毒而被误删。复制,并放在altera\12.0\quartus\bin下

 

  5、点击它,再点应用。

 

  6、保存license.dat,并将其中的XXXXXXXXXXXX替换为Quartus ii的NIC ID(注:一般有多个,任选一个),ID在Quartus的Tools菜单下License Setup中找到。

 

  7、Quartus ii的Tools菜单下选择License Setup,然后选择License file,选择到license.dat,点击OK,破解完成。

 

  ModelSim 10.1 安装与破解

  1、下载ModelSim 10.1 SE版和其破解文件 

  

  2、安装ModelSim 10.1 SE版

  安装过程会出现  是否安装Hardware Security Key Driver  选NO。还有需要许可证的,都NO。

  

  3、破解文件中有两个文件,MentorKG.exe和crack.bat,将其复制到modeltech_10.1a\win32目录下。

 

  4、点击crack.bat,生成TXT文件,保存为LICENSE.TXT,放在modeltech_10.1a\下

 

  5、添加环境变量LM_LICENSE_FILE,路径为***\modeltech_10.1a\LICENSE.TXT

  至此,破解完成。

 

  在接下去就是如何连接两个软件了。

  1、在Quartus ii中选择到Tool-->Options-->General-->EDA Tool Options ,在ModelSim中添加其路径***\modeltech_10_1a\win32

 

  2、在Quartus ii中选择到Assignments-->EDA Tool Options-->Simulation,在Tool name中选择ModelSim(注:不要选ModelSim-Altera)。其下面有个run gate-level simulation automatically after complication,即在综合之后就打开ModelSim进行编译。建议先不要选择。

 

  3、在综合编译之后,点击Processing-->start-->start test bench template writer 可自动生成testbench模板。testbench文件在项目文件的simulation\modelsim下。

 

  4、编写好testbench后,进入Assignments-->EDA Tool Options-->Simulation,在NativeLink settings中选择Compile test bench 并选择对应的testbench文件。

 

  5、

  .vt文件的文件名和.vt文件中顶层实体模块名

 

  6、点击Tool-->Run simulation tool-->RTL Simulation 进行仿真。

  至此,quartus ii和modelsim 10.1已经连接上,接下来就是考虑一下怎样编写testbench了。

 

  

 posted on 2015-09-09 22:47  _轩小宸  阅读(2241)  评论(0编辑  收藏  举报