Loading

数字asic流程实验(EX3)PTPX进行功耗评估

Synopsys PrimteTime工具除了可以用来评估时序之外,也可以用来评估功耗。

一般来讲,PTPX进行功耗评估的流程如下:

  1. 使用电路网表进行仿真,可以是综合网表,也可以是PR后的网表

  2. dump波形,可以用vcd或者fsdb格式

  3. PTPX吃入标准单元/ip库,网表,sdc和sdf文件以及波形文件,进行功耗评估

关于如何跑网表仿真和dump波形,可以参考前面的博客

示例脚本如下:

# set the power analysis mode

set power_enable_analysis TRUE
set power_analysis_mode averaged
#set power_analysis_mode time_based

# read and link netlist

set design_name " "

set stdcel_libs " "

set link_library "* $stdcel_libs"

read_verilog xxx.v

current_design $design_name

link

# read sdc and sdf files

read_sdc xxx.sdc

read_sdf -analysis_type on_chip_variation xxx.sdf

# check, update and report timing

check_timing > ./reports/check_timing.rpt

update_timing

report_timing > ./reports/timing.rpt

# read switching activity file

read_fsdb -strip_path tb_xxx/dut xxx.fsdb -time { }

report_switching_activity -list_not_annotated > ./reports/switching_activity.rpt

# check, update and report power

check_power > ./reports/check_power.rpt

update_power

report_power -hierarchy > ./reports/power_hier.rpt
report_power -verbose > ./reports/power_verbose.rpt

这里对一些关键的配置命令进行一些说明。

set power_enable_analysis TRUE
set power_analysis_mode averaged
#set power_analysis_mode time_based

通过set power_enable_analysis TRUE使能功耗分析,会检查PTPX的license。功耗分析有两种模式,一种是averaged模式,会分析给定时间段内的平均功耗,也是这篇博客里采用的方式。time_based的方式则会给出时变的功耗值,详细的使用感兴趣可以自行研究。模式的设置用set power_analysis_mode命令来指定。

read_fsdb -strip_path tb_xxx/dut xxx.fsdb -time { }读入指定的波形文件,-strip_path参数后面跟着的应该是产生这个fsdb波形所用的testbench的模块名/在testbench中例化的测试模块的名字。例如:

module tb_my_module;

my_module dut (
    .a(),
    .b(),
    .c()
);

endmodule

那么这里的strip_path就是tb_my_module/dut。

另外-time参数后面的大括号里面,使用的是{begin_time end_time}的写法,单位为ns。具体来说,如果我想测量100-200ns,这里就使用-time {100 200}

最后,report_power命令有两种模式:

report_power -hierarchy > ./reports/power_hier.rpt
report_power -verbose > ./reports/power_verbose.rpt

hierarchy模式会层次化的报告设计模块的power(注意综合的网表也得是hierarchy的)。而verbose模式报告的模式会精细的报告具体的组件,internal power,switching power,leakage power的情况等。

posted @ 2025-07-17 17:43  sasasatori  阅读(442)  评论(3)    收藏  举报