上一页 1 ··· 8 9 10 11 12 13 下一页

2014年11月17日

C/C++招聘的一些感受和经验【转】

摘要: 找工作本人认为最重要的就是前期准备了。 首先。简历一定要写的切合主题。招聘单位要的是你的技能,这个只要大概能符合就可以,关键他们需要的是你的开发经验,一定要在简历中完美的体现出你之前所参与的项目,一定要写明你在项目中的职责和地位,企业通过这方面侧面对你的技术水平进行定位,因此一定要实事求是的写的尽可能的详细,只要别吹牛B,再详细都不过分。只要上面这些内容得到充分的发挥,那么你基本可以得... 阅读全文

posted @ 2014-11-17 09:21 矮油~ 阅读(263) 评论(0) 推荐(0)

常用的算法的时间复杂度和空间复杂度

摘要: 阅读全文

posted @ 2014-11-17 09:16 矮油~ 阅读(287) 评论(0) 推荐(0)

2014年11月6日

全数字锁相环(DPLL)的原理简介以及verilog设计代码

摘要: 随着数字电路技术的发展,数字锁相环在调制解调、频率合成、FM 立体声解码、彩色副载波同步、图象处理等各个方面得到了广泛的应用。数字锁相环不仅吸收了数字电路可靠性高、体积小、价格低等优点,还解决了模拟锁相环的直流零点漂移、器件饱和及易受电源和环境温度变化等缺点,此外还具有对离散样值的实时处理能力,已成... 阅读全文

posted @ 2014-11-06 11:31 矮油~ 阅读(21265) 评论(1) 推荐(4)

2014年11月4日

括号匹配算法 C语言实现

摘要: #include #include //malloc,realloc#include //含有overflow#include //exit()#define S_SIZE 100 //栈的空间大小#define STACKINCREAMENT 10//增加空间struct ... 阅读全文

posted @ 2014-11-04 17:12 矮油~ 阅读(1979) 评论(0) 推荐(0)

已知某一天是周几 求给定的一天是周几的算法 C++实现

摘要: #includeusing namespace std;struct Date{ int year,month,day;};enum Week{MON=1,TUE,WED,THU,FRI,SAT,SUN,};int isLeapYear(int y){ if((y%4==0&&y%100!=0)||... 阅读全文

posted @ 2014-11-04 16:53 矮油~ 阅读(1202) 评论(0) 推荐(0)

2014年10月30日

哈夫曼树原理及构造

摘要: 构造哈夫曼树的过程是这样的一、构成初始集合对给定的n个权值{W1,W2,W3,...,Wi,...,Wn}构成n棵二叉树的初始集合F={T1,T2,T3,...,Ti,...,Tn},其中每棵二叉树Ti中只有一个权值为Wi的根结点,它的左右子树均为空。(为方便在计算机上实现算法,一般还要求以Ti的权... 阅读全文

posted @ 2014-10-30 10:35 矮油~ 阅读(6187) 评论(0) 推荐(0)

2014年10月28日

VHDL 基本语法举例

摘要: 阅读全文

posted @ 2014-10-28 10:46 矮油~ 阅读(827) 评论(0) 推荐(0)

VHDL testbench 例子,包含向文件中写数据

摘要: LIBRARY ieee; USE ieee.std_logic_1164.ALL; use std.textio.all; use ieee.std_logic_textio.all; ENTITY DFFNTest IS END DFFNTest; ARCHITECTURE behavior OF DFFNTest IS -... 阅读全文

posted @ 2014-10-28 10:13 矮油~ 阅读(1404) 评论(0) 推荐(0)

利用VHDL读写file文件

摘要: library ieee; use std.textio.all; use ieee.std_logic_textio.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity testin is end entity testin; a... 阅读全文

posted @ 2014-10-28 10:04 矮油~ 阅读(6066) 评论(0) 推荐(0)

2014年10月27日

不可综合的verilog语句分析

摘要: 前半部分转自http://www.cnblogs.com/Mrseven/articles/2247657.html,后半部分为自己测试结果。 基础知识:verilog 不可综合语句 (1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,an... 阅读全文

posted @ 2014-10-27 16:45 矮油~ 阅读(2953) 评论(0) 推荐(0)

上一页 1 ··· 8 9 10 11 12 13 下一页

导航