2014年10月28日

VHDL 基本语法举例

摘要: 阅读全文

posted @ 2014-10-28 10:46 矮油~ 阅读(827) 评论(0) 推荐(0)

VHDL testbench 例子,包含向文件中写数据

摘要: LIBRARY ieee; USE ieee.std_logic_1164.ALL; use std.textio.all; use ieee.std_logic_textio.all; ENTITY DFFNTest IS END DFFNTest; ARCHITECTURE behavior OF DFFNTest IS -... 阅读全文

posted @ 2014-10-28 10:13 矮油~ 阅读(1404) 评论(0) 推荐(0)

利用VHDL读写file文件

摘要: library ieee; use std.textio.all; use ieee.std_logic_textio.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity testin is end entity testin; a... 阅读全文

posted @ 2014-10-28 10:04 矮油~ 阅读(6066) 评论(0) 推荐(0)

导航