摘要:
VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count3 is port (clk:in bit; count:out bit_vector (2 downto 0)) 阅读全文
posted @ 2025-03-19 23:16
Pikature
阅读(33)
评论(0)
推荐(0)
摘要:
每日一题 面试题:最长递增子序列(Longest Increasing Subsequence, LIS) 题目描述 给定一个整数数组 nums,找到其中最长严格递增子序列的长度(子序列不要求连续)。 示例: 输入:nums = [10,9,2,5,3,7,101,18] 输出:4 解释:最长递增子 阅读全文
posted @ 2025-03-19 00:01
Pikature
阅读(85)
评论(0)
推荐(0)

浙公网安备 33010602011771号