上一页 1 ··· 5 6 7 8 9 10 11 12 13 下一页
摘要: 6 generate statemachine 1 -- port 2 cmd_ack : out std_logic; -- command completed 3 4 -- architecture 5 type states is (idle, start_a,... 阅读全文
posted @ 2015-05-07 10:09 mengdie 阅读(215) 评论(0) 推荐(0)
摘要: 4) detect start/stop condition START- falling edge on SDA while SCL is high; STOP -rising edge on SDA while SCL is high 1 -- block 2 signal st... 阅读全文
posted @ 2015-05-07 09:53 mengdie 阅读(298) 评论(0) 推荐(0)
摘要: FPGA proven, AISC proven, I2C controllercore from OpenCoreshttp://opencores.org/project,i2cBit-controller5 block 1) capture SCL and SDA 1 -- por... 阅读全文
posted @ 2015-05-07 08:25 mengdie 阅读(408) 评论(0) 推荐(0)
摘要: 4 generate clock and control signals 1 -- architecture 2 signal iscl_oen, isda_oen : std_logic; -- internal I2C lines 3 signal sda_chk ... 阅读全文
posted @ 2015-05-07 08:00 mengdie 阅读(368) 评论(0) 推荐(0)
摘要: FPGA proven, AISC proven,I2C controllercorefrom OpenCoreshttp://opencores.org/project,i2cBit-controller-- Translate simple commands into SCL/SDA trans... 阅读全文
posted @ 2015-05-07 07:28 mengdie 阅读(707) 评论(0) 推荐(0)
摘要: System key combinationsCTRL+ESC: OpenStartmenuALT+TAB: Switch between open programsALT+F4: Quit programSHIFT+DELETE: Delete item permanentlyWindows Lo... 阅读全文
posted @ 2015-05-06 22:30 mengdie 阅读(267) 评论(0) 推荐(0)
摘要: 1 VHDL unitsVHDL code is composed of at least3 fundamental sections: 1) LIBRARYdeclarations: Contains a list of all libraries to be used in the desi... 阅读全文
posted @ 2015-05-06 20:42 mengdie 阅读(293) 评论(0) 推荐(0)
摘要: 1 Header files The header files are empty, so you can createthem with touch:$ touch a.h$ touch b.h$ touch c.h2 Source files 1 /* main.c */ 2 #inclu... 阅读全文
posted @ 2015-05-04 16:54 mengdie 阅读(138) 评论(0) 推荐(0)
摘要: 1 D-Flip-Flop with async reset or set 1 library IEEE; 2 use ieee.std_logic_1164.all; 3 4 entity FFD is 5 generic 6 ( 7 ResetVal :... 阅读全文
posted @ 2015-04-30 15:53 mengdie 阅读(538) 评论(0) 推荐(0)
摘要: Git-- open source distributed version control system-- A stream of snapshots(if no change,just link)-- Three stateshttp://git-scm.com/http://git-scm.c... 阅读全文
posted @ 2015-04-28 17:39 mengdie 阅读(170) 评论(0) 推荐(0)
上一页 1 ··· 5 6 7 8 9 10 11 12 13 下一页