2020年8月20日

摘要: 无独有偶,这两天都遇到了只能用modelsim进行仿真的要求,事情虽小,但是觉得还是要记录一下的,所以在此记录一下如何单独使用modelsim进行verilog代码仿真。 第一步、打开软件,在file下选择new—>peroject,如图一所示,之后会出现图二所示界面: 第二步、在Project N 阅读全文
posted @ 2020-08-20 10:26 🐗 阅读(670) 评论(0) 推荐(0)
摘要: 自己的100Mhz时钟 自己的FPGA时钟管脚为C8,电平为LVCMOS15 set_property PACKAGE_PIN C8 [get_ports clk_i] set_property IOSTANDARD LVCMOS15 [get_ports clk_i] 主时钟位于bank34,电压 阅读全文
posted @ 2020-08-20 10:21 🐗 阅读(327) 评论(0) 推荐(0)
摘要: 1.80 altera程序固化 主动串行配置AS 1、 在file->convert Programming Files 2、 在弹出的窗口中,Programming filetype 选择JTAG Indirect Configuration File(.jic),Mode选择Active Ser 阅读全文
posted @ 2020-08-20 10:18 🐗 阅读(406) 评论(0) 推荐(0)

2020年7月30日

摘要: 主函数: module SYNC_fifo#(parameter DATA_WIDTH =8,parameter ADDR_WIDTH =4)(input clk,input rst_n,input rd_en,input wr_en,input[DATA_WIDTH-1:0] din,output 阅读全文
posted @ 2020-07-30 22:06 🐗 阅读(374) 评论(0) 推荐(0)
摘要: module asyn_fifo#( parameter DATA_WIDTH=8, parameter ADDR_WIDTH=3, //地址位宽为log2(deepth) parameter DATA_DEPTH=1<<ADDR_WIDTH ) ( input rst_n, //复位信号输入(应该 阅读全文
posted @ 2020-07-30 22:03 &#128023; 阅读(378) 评论(0) 推荐(0)

2020年7月28日

摘要: 端口仲裁器: 端口仲裁器的两端连接的都是同等级的虚拟通路VC,类似于一个多输入MUX,输出的选通信号来自于数据所使用的端口。 VC仲裁器: VC仲裁器,前端连接的多个不同等级的VC通路,后端连接的是实际物理链路,输出的选通信号来自于VC等级。 端口仲裁 定义:不同Ingress端口的、相同VC等级的 阅读全文
posted @ 2020-07-28 15:11 &#128023; 阅读(409) 评论(0) 推荐(0)

2020年2月20日

摘要: 一、用户名分析 luxinshuo@luxinshuo-virtual-machine:~$ luxinshuo是当前用户名,@后面的luxinshuo-virtual-machine是机器名字。最后面的$表示当前用户是普通用户,我们可以在提示符后面输入命令。 Shell:就是一个应用程序,负责接收 阅读全文
posted @ 2020-02-20 19:13 &#128023; 阅读(302) 评论(0) 推荐(0)

2020年2月19日

摘要: 6、去耦电容(decoupling capacitor):是电路中装设在元件的电源端的电容,此电容可以提供较稳定的电源,同时也可以降低元件耦合到电源端的噪声,间接可以减少其他元件受此元件噪声的影响,主要功能是提供较为稳定的电源。去耦电容也称为退耦电容,是把输出信号的干扰作为滤除对象,,一般放在不需要 阅读全文
posted @ 2020-02-19 11:44 &#128023; 阅读(575) 评论(0) 推荐(0)

2020年2月16日

摘要: XDMA核的使用 一、 XDMA相关知识 绝对地址就是物理地址=段地址*16+偏移地址,也就是段地址<<4+偏移地址 主机host通过PCIe接口访问DMA,DMA即外部设备不通过CPU而直接与系统内存(DDR)交换数据。 PIO模式下硬盘和内存之间的数据传输是通过CPU来控制的,而在DMA模式下, 阅读全文
posted @ 2020-02-16 22:19 &#128023; 阅读(1520) 评论(1) 推荐(0)
摘要: 线程与进程: 进程:是并行执行的程序在执行过程中进行分配和管理资源的基本单位。 线程:是进程的一个执行单元,比进程更小的独立运行的基本单位,也被称为轻量级进程,线程的引入减小了程序并发执行时的损耗,提高了操作系统的并发性能。 进程分为单线程进程和多线程进程,单线程微观上只有单一的执行过程。多线程进程 阅读全文
posted @ 2020-02-16 11:30 &#128023; 阅读(154) 评论(0) 推荐(0)

导航