2017年11月26日

uvm_config_db——半个全局变量

摘要: UVM中的配置机制uvm_config_db,uvm_config_db机制用于在UVM平台间传递参数。它们通常是成对出现的,set 寄信,而get函数是收信。config 机制大大提高了UVM的验证灵活性。例如module 和 class 连接通过config_db virtual interac 阅读全文

posted @ 2017-11-26 22:26 dpc525 阅读(1306) 评论(0) 推荐(0) 编辑

导航