modelsim do.do

quit -sim
if [ file exists work ] {
vdel -all
}
vlib work
vmap work work
vlog -work work "*.v"
vsim -novopt work.test
view wave
add wave *
#add wave -position insertpoint sim:/test_Enc164a/encoder_u164/e0/*
run -all

posted @ 2022-01-07 10:18  大块头  阅读(28)  评论(0编辑  收藏  举报