以3种方式描述3人表决器件(其中也涉及到了模块化编程)
摘要:
选自《《基于VHDL的FPGA和NoisII实例精炼》》一--*************第一种方式*******************--数据流方式,主要用于简单的逻辑功能的实现,以信号赋值的方式来体现library ieee;use ieee.std_logic_1164.all;entity threevoter is port ( one : in std_logic; two : in std_logic; three : in std_logic; ispass : out std_logic);end threevoter;architecture dat... 阅读全文
posted @ 2012-06-30 23:16
zhliao
阅读(566)
评论(0)
推荐(0)
浙公网安备 33010602011771号