zhliao2

风雨兼程,一路向北-------fpga (Keep a quiet heart study)

2012年6月30日

以3种方式描述3人表决器件(其中也涉及到了模块化编程)

摘要: 选自《《基于VHDL的FPGA和NoisII实例精炼》》一--*************第一种方式*******************--数据流方式,主要用于简单的逻辑功能的实现,以信号赋值的方式来体现library ieee;use ieee.std_logic_1164.all;entity threevoter is port ( one : in std_logic; two : in std_logic; three : in std_logic; ispass : out std_logic);end threevoter;architecture dat... 阅读全文

posted @ 2012-06-30 23:16 zhliao 阅读(566) 评论(0) 推荐(0)
vhdl和原理图的混合设计

摘要: 首先建立一个VHDL工程文件FPGA_VHDL:把所需的代码写上(非门):library ieee;use ieee.std_logic_1164.all;entity FPGA_VHDL isport ( x : in std_logic; y : out std_logic);end FPGA_VHDL;architecture dataflow of FPGA_VHDL isbegin y <= not x;end dataflow;编译好了之后建立按如下步骤:在Block1双击空白处:FPGA_VHDL为VHDL生成的原理图设计如下,其中只是加了AND2:最后设置顶层文件 阅读全文

posted @ 2012-06-30 20:58 zhliao 阅读(2637) 评论(0) 推荐(0)