摘要: Our main business is not to see what lies dimly at a distance , but to do what lies clearly at hand. 最重要的是不要去看远处模糊的,而要做手边清楚的事。 阅读全文
posted @ 2019-10-04 20:03 xiujun7 阅读(174) 评论(0) 推荐(0) 编辑
摘要: 参考http://wenku.baidu.com/link?url=Um9pL56UJjFdXAE17a9VD-RVlYWWXQKEMbVWNtMGa44HpwY_AOjSqtpt13QJLTvvN1yrzTwaQ3v-DC9CioebT8MusyHgHSi9rJhfSAf5Dlu 阅读全文
posted @ 2016-12-04 22:03 xiujun7 阅读(292) 评论(0) 推荐(0) 编辑
摘要: 1.打开C:/WINDOWS/system32/drivers/etc中的hosts文件在最后一行添加74.125.237.1 dl-ssl.google.com2.如果仍然链接失败就打开SDK Manager.exe,选中tool栏,点Android SDK Manager ,在下面Force h... 阅读全文
posted @ 2015-07-20 17:09 xiujun7 阅读(404) 评论(0) 推荐(0) 编辑
摘要: 工具->选项 阅读全文
posted @ 2015-03-20 14:18 xiujun7 阅读(1328) 评论(0) 推荐(0) 编辑
摘要: Starting a New ProjectIn most cases, your embedded processor system is part of a larger design being implemented in a FPGA, and you use both the PlanA... 阅读全文
posted @ 2015-01-31 17:18 xiujun7 阅读(255) 评论(0) 推荐(0) 编辑
摘要: XPS Main WindowThe Xilinx® Platform Studio (XPS) main window comprises several viewing panels. The numbered sections of the main window are described ... 阅读全文
posted @ 2015-01-31 16:34 xiujun7 阅读(191) 评论(0) 推荐(0) 编辑
摘要: XPS Project FilesXilinx® EDK has several project file types. Except where otherwise noted, you can edit these files according to your design needs.Pro... 阅读全文
posted @ 2015-01-31 16:27 xiujun7 阅读(166) 评论(0) 推荐(0) 编辑
摘要: XPS Project DirectoriesTo view the XPS directory structure, refer to XPS Directory Structure Outline.__xpsContains intermediate files generated by XPS... 阅读全文
posted @ 2015-01-31 16:13 xiujun7 阅读(344) 评论(0) 推荐(0) 编辑
摘要: Xilinx Platform Studio (XPS)XPS provides an integrated environment for creating software and hardware specification flows for embedded processor syste... 阅读全文
posted @ 2015-01-31 15:39 xiujun7 阅读(777) 评论(0) 推荐(0) 编辑
摘要: 阅读全文
posted @ 2014-11-07 20:33 xiujun7 阅读(153) 评论(0) 推荐(0) 编辑
摘要: 对于有些allegro画的pcb文件,打开后发现走线看起来像有断点,显示效果不太好。此时,可以更改allegro的设置,达到走线连接通顺美观的目的。其方法如下;1、打开brd文件,选择Setup->Design Parameters,在此菜单的基础上选择Display,其界面如下图所示:2、在此界面... 阅读全文
posted @ 2014-10-28 16:06 xiujun7 阅读(1194) 评论(0) 推荐(0) 编辑
摘要: MS DOS 命令大全一、基础命令 1 dir 无参数:查看当前所在目录的文件和文件夹。 /s:查看当前目录已经其所有子目录的文件和文件夹。 /a:查看包括隐含文件的所有文件。 /ah:只显示出隐含文件。 /w:以紧凑方式(一行显示5个文件)显示文件和文件夹。 /p:以分页方式(显示一页之后会自动暂... 阅读全文
posted @ 2014-10-19 17:55 xiujun7 阅读(272) 评论(0) 推荐(0) 编辑
摘要: 《LVDS用户手册》 http://vdisk.weibo.com/s/aGgfcSuQ3SXoe 下面是网上搜集的资料http://bbs.lcdhome.net/read-htm-tid-554.html LVDS:(Low Voltage Differential Signal)低压差分信号... 阅读全文
posted @ 2014-10-08 23:28 xiujun7 阅读(1020) 评论(0) 推荐(0) 编辑
摘要: 声明:以下步骤在drupal7下测试通过(将gmail换为有smtp 服务器的sina邮箱可以发送邮件)1.下载smtp模块:http://drupal.org/project/smtp(link is external)2.解压模块,并在drupal下安装smtp模块。3.配置stmp服务器:ad... 阅读全文
posted @ 2014-10-08 22:23 xiujun7 阅读(679) 评论(0) 推荐(0) 编辑
摘要: 1 服务器转:http://zhidao.baidu.com/link?url=1rHCzSsc-TBQoIT64fx-qhtE8zGQ0eL01VopRoD00I6wdyizMRLiya0gEBIbXIdB_tGbfHBhUk-I5tAUBnoYJ_1igmTW_2miy5-UWrFpOSW(1)... 阅读全文
posted @ 2014-10-07 10:46 xiujun7 阅读(445) 评论(0) 推荐(0) 编辑
摘要: 1、下载xampp,windows 32免安装版(百度云盘地址:http://pan.baidu.com/s/1dDlGqQl)2、解压到电脑的某个盘中3、在解压目录中双击运行xampp_start.exe4、在浏览器中输入localhost出现如下界面5、Mysql默认情况下是有root用户,但没... 阅读全文
posted @ 2014-10-06 21:26 xiujun7 阅读(378) 评论(0) 推荐(0) 编辑
摘要: New XAMPP security concept:Access to the requested directory is only available from the local network.This setting can be configured in the file “http... 阅读全文
posted @ 2014-10-06 15:14 xiujun7 阅读(203) 评论(0) 推荐(0) 编辑
摘要: AS117可提供1A线性电源:144管脚5万门以下AS2839、LT1085/6可提供3A线性电源:240管脚30万逻辑门以下TPS54350可提供3A电流开关电源:适合大部分需求复位一般是低电平复位(个别除外),芯片复位(MAX708S/706S¥1.6系列,高低电平2中复位方式,有电源监控能力。... 阅读全文
posted @ 2014-10-03 22:38 xiujun7 阅读(300) 评论(0) 推荐(0) 编辑
摘要: 参考百度经验:http://jingyan.baidu.com/article/f0062228f0b18afbd2f0c871.html解决Android SDK Manager更新、下载速度慢 :http://jingyan.baidu.com/article/b0b63dbfd0948c4a4... 阅读全文
posted @ 2014-10-02 18:03 xiujun7 阅读(960) 评论(0) 推荐(0) 编辑
摘要: cadence仿真前,需要对电路板进行一些设置,最主要的就是加载元器件的ibis模型。下面具体说说。这里可以对铜皮厚度,绝缘层厚度进行设置,使得阻抗在一个合适的数值。这里是很重要的要对元器件分配IBIS模型。DSP,FLAS,SRAM这些芯片,一般公司会提供ibs文件,你加载到电路板里面,这里选择对... 阅读全文
posted @ 2014-09-30 16:18 xiujun7 阅读(959) 评论(0) 推荐(0) 编辑
摘要: 原文:http://blog.chinaunix.net/uid-24343357-id-3275420.html我们还是利用于博士的那个电路板,看一下cadence软件的串扰仿真,我们选取3跟信号线,见下图。U6.N3-R36-U7.56U6.P3-R36-U7.54U6.P2-R36-U7.53... 阅读全文
posted @ 2014-09-30 16:14 xiujun7 阅读(778) 评论(0) 推荐(0) 编辑
摘要: cadence约束管理器设置是布线过程中很重要的一步,相关的设置可以使得布线事半功倍,还可以提高走线信号的信号质量,下面具体说一下。这篇文章图片大约46张,读者要仔细看看,需要说明的我会用文字解释一下,这些截图都是一步一步的操作,按着顺序的,没有间断,或者跳转,有些书籍,讲解东西,跳来跳去的,我不喜... 阅读全文
posted @ 2014-09-30 16:07 xiujun7 阅读(1160) 评论(0) 推荐(0) 编辑
摘要: 1.如果对SI的基础理论不了解,不要一味的追求使用软件,仿真的目的是为了理解问题原因,避免问题出现2.如果E文可以的,help doc会更有利于软件的学习(不要把doc当作课本来读,而是作为工具书,查找我们需要的部分3.比较典型的SI仿真软件,强烈建议新手学习Hyperlynx,很容易上手,不会被复... 阅读全文
posted @ 2014-09-20 19:03 xiujun7 阅读(2298) 评论(0) 推荐(0) 编辑
摘要: (A)为什么要将模拟地和数字地分开,如何分开?Answer:模拟信号和数字信号都要回流到地,因为数字信号变化速度快,从而在数字地上引起的噪声就会很大,而模拟信号是需要一个干净的地参考工作的。如果模拟地和数字地混在一起,噪声就会影响到模拟信号。一般来说,模拟地和数字地要分开处理,然后通过细的走线连在一... 阅读全文
posted @ 2014-09-20 19:02 xiujun7 阅读(1051) 评论(0) 推荐(0) 编辑
摘要: 一.在原理图设计中,只要填footprint栏的信息即可,但在PCB editor中放置的时候找不到封装,路径的设置是在PCB editor中进行的(1)先检查一下设计的封装文件是否齐全:dra、psm、pad、txt(2)路径中不能有中文(3)封装库的路径是否设定正确: setup->user p... 阅读全文
posted @ 2014-09-12 22:45 xiujun7 阅读(832) 评论(0) 推荐(0) 编辑
摘要: Candence的SPB平台业界闻名,其PCB工具allegro渐已成为业界的标准,自从收购了ORCAD原理图工具(改名为Capture CIS),尽管它们不是同一个娘生的,但配合得天衣无缝。ALTERA 遗憾的是ORCAD里面的原理图库不全,FPGA的库元件都是老掉牙的东西,对此我开始时是非常不... 阅读全文
posted @ 2014-09-05 23:17 xiujun7 阅读(1668) 评论(0) 推荐(0) 编辑
摘要: http://pan.baidu.com/s/1i3JW4AD 阅读全文
posted @ 2014-09-01 20:37 xiujun7 阅读(567) 评论(0) 推荐(0) 编辑
摘要: 无法找到VBScript脚本引擎的解决办法(转)由于频繁安装和删除软件,结果导致打开所有的.vbs脚本时,都会弹出“无法找到VBScript脚本引擎”的错误框。网上搜了一些解决办法,都无效。我的系统是win7。如果系统是xp,网上给出一种解决办法,有人用它解决了此问题。“这里给出无法找到脚本引擎"v... 阅读全文
posted @ 2014-08-31 08:17 xiujun7 阅读(384) 评论(0) 推荐(0) 编辑
摘要: 1. findfind是最常见和最强大的查找命令,你可以用它找到任何你想找的文件。find的使用格式如下: $ find - : 所要搜索的目录及其所有子目录。默认为当前目录。 - : 所要搜索的文件的特征。 - : 对搜索结果进行特定的处理。如果什么参数也不加,find默认搜索当前目... 阅读全文
posted @ 2014-08-26 13:03 xiujun7 阅读(188) 评论(0) 推荐(0) 编辑
摘要: (转)关于C/C++函数调用约定,大多数时候并不会影响程序逻辑,但遇到跨语言编程时,了解一下还是有好处的。VC中默认调用是__cdecl方式,Windows API使用__stdcall调用方式,在DLL导出函数中,为了跟Windows API保持一致,建议使用__stdcall方式。调用约定跟堆栈... 阅读全文
posted @ 2014-08-23 19:15 xiujun7 阅读(213) 评论(0) 推荐(0) 编辑
摘要: #define N100000有了这样的定义后,在程序中使用N就代表100000typedef int word4给int取个新名字word4(转)struct和typedef struct的 区别分三块来讲述: 1 首先://注意在C和C++里不同 在C中定义一个结构体类型要用typede... 阅读全文
posted @ 2014-08-23 17:50 xiujun7 阅读(364) 评论(0) 推荐(0) 编辑
摘要: 1.如果某个菜单被删除了,恢复方法:在菜单栏空白部分右击->customizing PCB Editor->toolbars->选中需要恢复的选项点击restore即可。2.键盘上的+号键可以切换层。3.将板子切为keep out layer 的形状的方法:design->Board Shope->... 阅读全文
posted @ 2014-08-20 20:58 xiujun7 阅读(1337) 评论(0) 推荐(0) 编辑
摘要: $a="111111111111111111111111111111111111";@b = $a =~ /\w{3}/g; print "@b\n";@c = unpack("(A3)*", $a);print "$c[7]\n"; for ($i=1;$i<=13;$i=$i+3){$b=sub... 阅读全文
posted @ 2014-08-11 18:18 xiujun7 阅读(747) 评论(0) 推荐(0) 编辑
摘要: 报错内容:1>LINK : warning LNK4076: 无效的增量状态文件“F:\VC_proj\Cproj\Debug\Cproj.ilk”;正在非增量链接1>lase.obj : error LNK2028: 无法解析的标记(0A000013) "extern "C" int __stdc... 阅读全文
posted @ 2014-08-07 22:55 xiujun7 阅读(515) 评论(0) 推荐(0) 编辑
摘要: vs2008 调试报错#include "stdafx.h"int _tmain(int argc, _TCHAR* argv[]){ FILE *fp,*ofp; void filecopy(FILE *,FILE *); if(argc == 1) filecopy(stdin,stdout)... 阅读全文
posted @ 2014-07-29 23:37 xiujun7 阅读(292) 评论(0) 推荐(0) 编辑
摘要: 1.添加到右键快捷方式:打开UltraEdit->高级->配置->文件关联->与资源管理器集成->打钩2.设置字体:视图->设置字体。3.生成bak文件设置:"高级"->"配置"选择"文件处理"->"临时文件",选择"不使用临时文件" 阅读全文
posted @ 2014-07-28 21:10 xiujun7 阅读(236) 评论(0) 推荐(0) 编辑
摘要: 有二种方式波形文件显示的数值的进制,第一种是直接修改配置文件,第二种是使用do文件时,指定波形的显示进制第一种方法:可以通过修改modelsim安装目录下面的modelsim.ini文件里面的DefaultRadix 值实现; Default radix for all windows and co... 阅读全文
posted @ 2014-05-25 00:20 xiujun7 阅读(2530) 评论(0) 推荐(0) 编辑
摘要: 【步骤1】在modelsim中输入文件内容如下module tryfact; function[31:0]factorial; //此处定义函数,[31:0]是返回值的类型或范围,factorial是函数名 input[3:0]operand; //端口说明语句 reg... 阅读全文
posted @ 2014-05-24 21:26 xiujun7 阅读(884) 评论(0) 推荐(0) 编辑
摘要: 求IC测试书7件套 求IC测试书7件套,Thanks1. Arithmetic Built-in Self-Test for Embedded Systems2. Design For Test 3. An_introduction_to_mixed_signal_ic_test_and_measu... 阅读全文
posted @ 2014-05-18 21:25 xiujun7 阅读(316) 评论(0) 推荐(0) 编辑
摘要: 你是否正在为modelsim根本无视你认真写出的完全符合规定的驱动变量而苦恼?因为这些testbench以前都运行的好好的。原来这都不是你的错,而是Modelsim 6.4里修改了参数设置造成的(这帮吃饱了饭撑得家伙)。网上能搜到的一般是修改安装目录下Modelsim.ini,将voptflow的值... 阅读全文
posted @ 2014-05-05 21:36 xiujun7 阅读(392) 评论(0) 推荐(0) 编辑