上一页 1 ··· 3 4 5 6 7 8 9 10 下一页
摘要: #define N1 (1 << n)1.向第n bit内写入1(寄存器可读) register_0 |= N1;2.向第n bit内写入0(寄存器可读) register_0 &= ~N1;3.将第n bit翻转(0变1, 1变0)(寄存器可读) register_0 ^= N1;4.判断第n bit是否为1(寄存器可读) if(register_0 &N1)5.判断第n bit是否为0(寄存器可读) if( !(register_0 & N1) )对于寄存器赋值的时候常用这种方法,好处不一而足,而对于配置寄存器来说,把所有有意义的bit都define出来 阅读全文
posted @ 2012-05-11 14:03 poiu_elab 阅读(365) 评论(0) 推荐(0) 编辑
摘要: 有源和无源在电子学上,通常将含有晶体管元件的电路称作“有源电路”(如有源音箱、有源滤波器等),而仅由阻容元件组成的电路称作“无源电路”。不依靠外加电源(直流或交流)的存在就能独立表现出其外特性的器件就是无源器件。之外就是有源器件。所谓“外特性”就是描述器件的某种关系量,尽管是使用了电压或电流,电场或磁场压力或速度等等量来描述其关系。无源器件的外特性却与他们是否作为策动源而存在没有关系。有源晶振和无源晶振的简单介绍无源晶振无源晶振为crystal(晶体),无源晶振是有2个引脚的无极性元件,需要借助于时钟电路才能产生振荡信号,自身无法振荡起来,所以“无源晶振”这个说法并不准确。无源晶振没有电压的问 阅读全文
posted @ 2012-05-08 23:29 poiu_elab 阅读(1162) 评论(0) 推荐(0) 编辑
摘要: 今天不知怎么的,正好提到了这个问题所以再说一下。一般的外部reset,对于内部的模块来说,是需要处理过再接入的,所以一般的情况就是,用几个寄存器给rst_n打上几拍,去掉不稳定的状态和短于一个clock的noise,再用个majority的逻辑去一下毛刺,注意这几个处理rst_n的寄存器是不要reset的,之后处理完毕的rst_n和clk就可以配套的接给模块内部的所有寄存器使用了。 阅读全文
posted @ 2012-05-08 23:19 poiu_elab 阅读(301) 评论(0) 推荐(0) 编辑
摘要: Question:Theexamplebelowmodelsaflip-flopwithasynchronousset/resetlogic(activelow).Themodelsynthesizescorrectly,butthereisacornercasewheresimulationresultsareincorrect. Whatisthecornercase?always_ff @( posedge clk or negedge rst_n or negedge set_n) begin if(!rst_n) q_out <= '0; else if(!set_n) 阅读全文
posted @ 2012-05-08 23:02 poiu_elab 阅读(447) 评论(0) 推荐(0) 编辑
摘要: 转自coolshell.cn下面是我给这位朋友的一些建议:鼓励并为你叫好。我鼓励你想要去学C语言的想法和精神,很多人都觉得C语言好学,其实并不然。(你可以看看《C语言的迷题》)现在的这个社会更多地去关注那些时髦的技术,而忽略了这个流行了40+年的C语言。一门技术如果能够流行40多年,这才是你需要去关注和学习的技术,而不是那些刚出来的技术(过度炒作的技术,Windows编程史)。这才是踏踏实实的精神。不要找借口。这一条路走下来并不容易,不要给自己找借口。我最不喜欢听到的就是“很忙,没有时间” 这样的借口。我以前在银行做项目,早9点到晚10点,周一到周六,我一样可以每天抽1个小时来看书和专研,一年 阅读全文
posted @ 2012-05-08 21:45 poiu_elab 阅读(1717) 评论(0) 推荐(1) 编辑
摘要: 爆一个灰常牛逼的快捷键知道了这个基本就已经可以秀上一把了都知道有file_list,尤其是在linux下更是家常便饭,在路径上面使用gf的快捷键,可以在本窗口直接打开路径所指的文件,Ctrl-^可以返回,不光是返回上一次的,可以多次返回,就是上一次打开的文件。使用Ctrl-W_Ctrl-F可以在新的split里面编辑新出现的文件,方便到爆,还有就是比如有很多的split,这时候你就可以使用Ctrl-W+hjkl来切换方向进入不同的split,帅另外:vs 是横向展开一个新窗口,:sp是纵向展开一个新窗口,在编辑一个很长的文件需要上下参照的时候还是有一定用处的,如果打开的是同一个文件的话它们都是 阅读全文
posted @ 2012-05-03 20:28 poiu_elab 阅读(346) 评论(0) 推荐(0) 编辑
摘要: 先说说上次流回来的芯片的测试情况。4月23日, 芯片采用裸片直接切片, bond在板子上,外面加了一个小塑料壳来保护,我们就直接拿回来测试了。测试的主要分为模拟和数字两部分, 数字部分的模块基本都工作正常, 模拟的芯片不一致性很成问题,一共就回来了两片芯片,可是模拟部分的参数不同,同时设置的寄存器给出的可调参数也起不到作用,很让人困惑。于是,查到了5月2日又有一次流片计划,于是急急忙忙的就开始准备了。很匆忙的, sky1301再次流出一版,其实有三个版本, v01主要就是模拟根据3月2日流出的芯片测试情况改变了模拟部分的一些电路,数字部分就是纠正了之前版本的一些问题,比如clear_fifo 阅读全文
posted @ 2012-05-03 18:55 poiu_elab 阅读(768) 评论(0) 推荐(0) 编辑
摘要: 周一流的芯片就要回来了,基本的测试工作也做了好多,大概总结一下吧,也算是个留念首先,出现问题了最先考虑的一定是板级的外围电路是否正常工作了0. 连接线, 这个东西绝对是最基本的问题,但是往往一些同学会被这玩意坑的很深,不多说,一定要全部测量,不能省下任何一个连接线不去测量。1. 测量仪器,这个东西出了问题真的是要命的,比如用示波器测量的时候就一定要关注探头是否是好的,是否接错了通道,是否幅值调整的有问题,是否触发源设置的有问题,是否因信号过快结果没法采样到待观测信号。而万用表如果只是测量通断的时候还好说,用来测量真正的电压的时候也不要以为万用表就不会坏,有可能没有坏,但是可能出现测量值不准确的 阅读全文
posted @ 2012-04-21 22:35 poiu_elab 阅读(528) 评论(0) 推荐(0) 编辑
摘要: 流星蝴蝶剑里面,一下子就征服我的一句话 阅读全文
posted @ 2012-04-19 09:17 poiu_elab 阅读(170) 评论(0) 推荐(0) 编辑
摘要: 基本上处理的都是软件方面的事宜,由于MSP430 5519造价太高,于是有了平台移植的一个工作,移植到430 5418上面,于是就把所有的底层函数重新写了一遍,截止到昨天总算是完成了,其实里面的学问还是蛮多的,由于是临时的现场飞线的板子,晶振十分的不稳定,所以程序时而跑飞,时而跑飞的。而且平台不稳定的问题基本解决,主要影响的因素还是时钟线的问题,不知道是时钟插座不好,还是时钟线不好,反正是在G21的时钟pin上会出问题,重新分配到J21上面就没有问题了。板级的问题还是挺值得关注的,不稳定的平台会让你欲生欲死的。接着周末的SKY1301的芯片就能回来,就要进行测试了,这个东西还是挺让人担心的,最 阅读全文
posted @ 2012-04-18 09:22 poiu_elab 阅读(220) 评论(0) 推荐(0) 编辑
上一页 1 ··· 3 4 5 6 7 8 9 10 下一页