随笔分类 -  Nios II

上一页 1 2 3 4 下一页

(原創) 如何以32 bit的方式存取SDRAM? (SOC) (Nios II) (SOPC Builder) (DE2-70)
摘要:在DE2因為只有一顆16 bit的SDRAM,儘管Nios II CPU是32 bit,存取SDRAM時必須透過Dyanamic Bus Sizing機制,花2個clk才能將32 bit資料讀取/寫入SDRAM;但DE2-70有2顆16 bit SDRAM,是否能將這2顆16 bit SDRAM看成1顆32 bit SDRAM使用呢? 阅读全文

posted @ 2008-11-25 11:39 真 OO无双 阅读(9024) 评论(14) 推荐(1) 编辑

(筆記) Altera所提供的master template範例 (SOC) (Nios II) (SOPC Builder)
摘要:若是使用DMA的方式做硬體加速,會寫master ip是很重要的關鍵,但master相關的書籍與範例都很少,這是一份Altera原廠所提供的master範例。 阅读全文

posted @ 2008-11-18 15:39 真 OO无双 阅读(2591) 评论(1) 推荐(0) 编辑

(原創) 如何將array宣告在SSRAM上? (SOC) (Nios II)
摘要:DE2/DE2-70上有很多記憶體,有onchip memory、SSRAM、SDRAM、Flash,各有各的優缺點,如何將變數或array放在特定的記憶體上呢? 阅读全文

posted @ 2008-11-12 00:25 真 OO无双 阅读(3807) 评论(2) 推荐(1) 编辑

(原創) 如何解決『Error: More than one programming cable found in available hardware list --use--list option to display available hardware list and specify correct cableprogramming』錯誤訊息? (SOC) (Nios II) (μClinux) (DE2) (DE2-70)
摘要:很多人在使用nios2-configure-sof將*.sof燒入DE2或DE2-70時,會遇到以上錯誤訊息,本文提出解決方法。 阅读全文

posted @ 2008-11-11 21:13 真 OO无双 阅读(4619) 评论(5) 推荐(0) 编辑

(原創) 另外一個Quartus II 8.0破解方式 (SOC) (Quartus II) (Nios II)
摘要:這是另外一個由老外所製作的Quartus II 8.0破解檔,與大家常用的破解方式不同,各有各的優缺點。 阅读全文

posted @ 2008-11-05 11:42 真 OO无双 阅读(9759) 评论(12) 推荐(0) 编辑

(原創) 如何從Nios II讀出CMOS放在SDRAM中的影像? (SOC) (SOPC Builder) (Nios II) (DE2-70) (TRDB-D5M) (TRDB-LTM)
摘要: 本文提供一個CMOS Controller,讓Nios II可以藉由CMOS Controller控制CMOS,並能讀出CMOS放在SDRAM中的影像。 阅读全文

posted @ 2008-10-24 01:57 真 OO无双 阅读(20927) 评论(97) 推荐(2) 编辑

(原創) 如何在DE2-70執行Count Binary project template? (SOC) (Nios II) (DE2-70)
摘要:Nios II EDS提供了『Count Binary』這個project template,不過在DE2與DE2-70都無法執行,本文提出解決方法。 阅读全文

posted @ 2008-10-21 16:56 真 OO无双 阅读(4434) 评论(2) 推荐(0) 编辑

(原創) 如何在Nios II顯示8位數的七段顯示器? (SOC) (Nios II) (SOPC Builder) (DE2-70)
摘要:本文討論如何在Nios II控制8位數的七段顯示器。 阅读全文

posted @ 2008-10-21 10:35 真 OO无双 阅读(4908) 评论(4) 推荐(0) 编辑

(原創) 如何在Nios II對Flash進行讀寫? (SOC) (Nios II) (DE2) (DE2-70)
摘要:在DE2版子上,除了8MB的SDRAM最大外,排名第2的就是4MB的Flash,本文討論如何在Nios II對Flash進行讀寫。 阅读全文

posted @ 2008-10-19 13:59 真 OO无双 阅读(11682) 评论(37) 推荐(0) 编辑

(原創) DE2_NIOS_Lite 1.2 (SOC) (Nios II) (SOPC Builder) (DE2)
摘要:以DE2_NIOS_Lite 1.1為基礎,做小幅的變動,主要是配合Quartus II 8.0的環境下使用。 阅读全文

posted @ 2008-10-19 11:20 真 OO无双 阅读(2579) 评论(1) 推荐(0) 编辑

(原創) 如何解決Nios II的『Leaving target processor paused』的錯誤訊息 (II)? (SOC) (Nios II) (SOPC Builder) (DE2-70)
摘要:『Leaving target processor paused』是Nios II初學者常遇到的錯誤訊息,我今天又遇到了,我將我debug的過程跟大家分享。 阅读全文

posted @ 2008-10-14 20:02 真 OO无双 阅读(9457) 评论(60) 推荐(2) 编辑

(原創) 如何在Nios II EDS 8.0使用Host File System與Zip File System? (SOC) (Nios II)
摘要:當升級到Quartus II 8.0後,很多人都發現Nios II EDS的project template變少了,尤其兩個最好用的Host File System與Zip File System竟然在Nios II EDS 8.0不見了!!本文提出解決方法。 阅读全文

posted @ 2008-10-08 17:24 真 OO无双 阅读(2850) 评论(2) 推荐(0) 编辑

(原創) 如何在Console控制LED顯示? (SOC) (Nios II) (DE2)
摘要:本文介紹如何在Nios II EDS console輸入數字控制DE2的LED顯示。 阅读全文

posted @ 2008-10-02 19:08 真 OO无双 阅读(4725) 评论(22) 推荐(0) 编辑

(原創) 如何徹底刪除SOPC Builder所遺留下的code? (SOC) (SOPC Builder) (Nios II)
摘要:初學者學習SOPC Builder時,會發現儘管我在SOPC Builder移除了某些ip,但project內仍殘留該ip的code,隨著時間日積月累,垃圾code越來越多,想刪除又怕誤刪了不該刪的code,該怎麼解決這個問題呢? 阅读全文

posted @ 2008-09-20 16:35 真 OO无双 阅读(2390) 评论(15) 推荐(0) 编辑

(原創) 如何破解Quartus II 8.0 SP1? (SOC) (Quartus II) (Nios II)
摘要:本文介紹破解Quartus II 8.0 SP1詳細步驟。 阅读全文

posted @ 2008-09-17 20:12 真 OO无双 阅读(13158) 评论(20) 推荐(0) 编辑

(原創) 如何破解Quartus II 8.0? (SOC) (Quartus II) (Nios II)
摘要:本文介紹破解Quartus II 8.0詳細步驟。 阅读全文

posted @ 2008-09-17 16:25 真 OO无双 阅读(13078) 评论(8) 推荐(0) 编辑

(原創) 哪裡有DE2-70的Nios II reference design可以參考? (SOC) (DE2-70) (Nios II) (SOPC Builder)
摘要:拿到DE2-70時,我第一件事情就是找CD內的Nios II reference design,看看哪些ip有變動,但最後發現:DE2-70沒有Nios II的reference design!! 阅读全文

posted @ 2008-08-30 16:26 真 OO无双 阅读(8581) 评论(13) 推荐(1) 编辑

(原創) 如何自己用SOPC Builder建立一個能在DE2上跑μC/OS-II的Nios II系統 (SRAM精簡版)? (SOC) (Quartus II) (Nios II) (SOPC Builder) (μC/OS-II) (DE2)
摘要:之前我已經討論這個主題,不過現在看起來,當時的一些設計仍有改進的空間,所以打算重新加以優化。 阅读全文

posted @ 2008-08-29 12:15 真 OO无双 阅读(6872) 评论(4) 推荐(0) 编辑

(筆記) 如何停頓n秒鐘後再繼續? (SOC) (DE2) (Nios II)
摘要:由於CPU速度太快,很多結果人眼根本看不見,因此想停頓n秒鐘再繼續,該如何透過C在Nios II實現呢? 阅读全文

posted @ 2008-08-29 11:35 真 OO无双 阅读(3998) 评论(1) 推荐(0) 编辑

(原創) DE2_NIOS_Lite 1.1 (SOC) (Nios II) (SOPC Builder) (μC/OS-II) (DE2)
摘要:有網友發現DE2_Nios_Lite 1.0在μC/OS-II無法執行,1.1版修正對μC/OS-II的支援。 阅读全文

posted @ 2008-08-23 10:35 真 OO无双 阅读(4798) 评论(6) 推荐(2) 编辑

上一页 1 2 3 4 下一页

导航