卷积核输出维度计算

卷积层:

 参数:W:宽;   H:高; D:深度;

K:卷积核的个数; F:卷积核的大小; S:步长; P:用0填充

卷积后输出:W或H=[(输入大小-卷积核大小+2*P)/步长]  +1.

       不能整除时,一般去掉小数部分取整,如4.5,则取4  


上图中的 output =[(7-3)+2*1]/1 +1 =7

        不能整除时,向下取整,如4.5,则取4  

上图中也提到了padding 的选择,

如卷积核为3时 padding 选择1\如卷积核为5时 padding 选择2\如卷积核为7时 padding 选择3

2)池化层(池化层的计算与卷积层一样), 但是池化层是向上取整


3)卷积后深度变化
  卷积后深度与卷积核的个数一致。用7个3*3的卷积核与RGB图像大小为(256,256,3)卷积,卷积后深度为7,卷积步长stride为1,填充padding为0,则卷积后图像大小及深度:234*234*7。

其中每个卷积核与RGB图像同时与R、G、B三个通道做卷积 (点乘相加)

posted @ 2019-11-06 14:23  U_C  阅读(1388)  评论(0编辑  收藏  举报