摘要: 下面是四选一多路选择器。有两类input信号,输入信号 in[3:0] ,选择信号 s[1:0]。 s选定后,in更新,out跟着更新,所以in必须写入敏感列表。 阅读全文
posted @ 2018-06-07 09:47 KevinChase 阅读(1185) 评论(0) 推荐(0) 编辑
摘要: Low-Voltage Differential Signaling 低压差分信号。 目前,流行的LVDS技术规范有两个标准:一个是 TIA/EIA(电讯工业联盟/电子工业联盟)的ANSI/TIA/EIA-644标准,另一个是 [1] IEEE 1596.3标准。 标准推荐的最高数据传输速率是655 阅读全文
posted @ 2018-05-24 14:51 KevinChase 阅读(6690) 评论(0) 推荐(0) 编辑
摘要: #include <stdint.h> #include "stm32f10x.h" 按照posix标准,一般整型对应的*_t类型为: 1字节 uint8_t 2字节 uint16_t 4字节 uint32_t 8字节 uint64_t 阅读全文
posted @ 2018-05-09 14:37 KevinChase 阅读(200) 评论(0) 推荐(0) 编辑
摘要: On-die Termination (ODT) 是为nv-ddr2接口中high-seed下提供的终端匹配电阻,可选择使能。 一般原则是,发送cmd、addr时关闭,发送或接收data时打开。 在flash内部状态机中,先判断ALE、CLE、DQS、RE_n是否有电平变化。 在ALE、CLE或CE 阅读全文
posted @ 2018-04-27 10:47 KevinChase 阅读(1870) 评论(0) 推荐(0) 编辑
摘要: 1、结构:MMCM和PLL mixed-mode clock manager (MMCM),phase-locked loop (PLL) 这两种primitive架构不同,MMCM实现更复杂一些,具有更多的features。 MMCM可以实现Spread Spectrum和差分输出,最多可以出7个 阅读全文
posted @ 2018-04-01 11:45 KevinChase 阅读(12114) 评论(0) 推荐(0) 编辑
摘要: Linux就是这个范儿 The Linux Command Line 鸟哥的 Linux 私房菜:基础学习篇 第四版 阅读全文
posted @ 2018-02-14 19:25 KevinChase 阅读(124) 评论(0) 推荐(0) 编辑
摘要: 系统:安装Ubuntu 16.04.1 LTS 更新到Ubuntu 16.04.3 LTS 搜狗中文输入法 然后安装搜狗输入法for Linux,直接下载deb包,双击-> ubuntu software-center闪退,通过升级系统以及重装center解决: sudo apt-get updat 阅读全文
posted @ 2018-02-06 16:10 KevinChase 阅读(1109) 评论(0) 推荐(0) 编辑
摘要: 1、搭建vivado工程。 用户自建一个AXI接口,配置成AXI4、Full、Master类型。输入信号m00_axi_int_axi_txn控制内部开始数据传输。 通过axi_smc或者axi_interconnect跟PS_HP接口对接,可以实现N-1或1-N。 PS核部分配置出HP0接口,以及 阅读全文
posted @ 2018-01-15 17:45 KevinChase 阅读(2264) 评论(1) 推荐(0) 编辑
摘要: 用vivado创建new AXI4 IP,配置:AXI4-Full,Master。分析内部关于AXI4接口自动产生的代码。 1、在 M_AXI_ACLK 同步时钟下,抓取 INIT_AXI_TXN 由低变高,让 init_txn_pulse 产生个pulse信号; 2、输入信号 INIT_AXI_T 阅读全文
posted @ 2018-01-10 17:45 KevinChase 阅读(4894) 评论(0) 推荐(0) 编辑
摘要: 【空调基本工作原理】 【氟利昂转换过程】(制冷) 制冷过程:储液罐中的也液态氟,经过低压通道挥发到室内机的蒸发器毛细管中,液体挥发成气体会吸热,即带走了室内的热量,谓之制冷。 气态氟经过压缩机加压,气体加压会产生高热,通过外机的毛细管,外机中的风机负责吹散热量,高压气体冷却后形成液体,进入储液罐。 阅读全文
posted @ 2018-01-07 21:43 KevinChase 阅读(1899) 评论(0) 推荐(0) 编辑
摘要: 在vivado中,连接的管脚的信号一般都会自动添加OBUF或IBUF。 但是对于inout类型的接口,不会主动添加IOBUF,因为in/out切换需要控制信号,需要用户自己分配好。 在Language Template中能找到IOBUF的标准实例: 我们现在实例化一个叫 inout spi_io[0 阅读全文
posted @ 2017-11-10 11:21 KevinChase 阅读(7735) 评论(0) 推荐(1) 编辑
摘要: 1、菜单选择 Tools -> Create and Package New IP;然后package指定目录; 2、指定目录(专门建个层次目录放自己的ip) 为了将各类文件分开,在ip目录下又建个src文件夹,专门放.v文件。(注意刚开始_TB文件不要放进来,否则就把这个识别成top文件了) 3、 阅读全文
posted @ 2017-11-08 11:21 KevinChase 阅读(1307) 评论(0) 推荐(0) 编辑
摘要: 报错一: WARNING: [Labtools 27-3361] The debug hub core was not detected. Resolution: 1. Make sure the clock connected to the debug hub (dbg_hub) core is 阅读全文
posted @ 2017-09-08 15:26 KevinChase 阅读(22780) 评论(0) 推荐(2) 编辑
摘要: // 阅读全文
posted @ 2017-08-29 11:42 KevinChase 阅读(363) 评论(0) 推荐(0) 编辑
摘要: 一、SPI Modes Serial Peripheral Interface Bus At CPOL=0 the base value of the clock is zero, i.e. the idle state is 0 and active state is 1. For CPHA=0, 阅读全文
posted @ 2017-08-22 20:34 KevinChase 阅读(404) 评论(0) 推荐(0) 编辑
摘要: i2c协议规范: 一、时钟 首先第一步是产生fast-mode的400khz的scl速率,假设方波高低电平各占一半,即1.25us,理论上不满足规范上scl低周期1.3us,但是绝大多数器件都支持稍微超过400khz的速率。 我们仍打算产生一个规范内的速率。输入时钟clk=20mhz,计数12+1次 阅读全文
posted @ 2017-08-22 14:39 KevinChase 阅读(2237) 评论(0) 推荐(0) 编辑
摘要: 阅读全文
posted @ 2017-08-15 14:53 KevinChase 阅读(214) 评论(0) 推荐(0) 编辑
摘要: 一、选择风扇类型:Qualtek FAD1-06020CSHW11 12V/0.16A 只有VCC和GND两根线外接,内部采用AH276霍尔磁性传感器。(DIODES or Anachip) 实测数值: 12V-85mA 11V-78mA 10V-72mA 9V-66mA 8V-59mA 7V-53 阅读全文
posted @ 2017-08-07 14:51 KevinChase 阅读(2797) 评论(0) 推荐(0) 编辑
摘要: # # IOSTANDARD Constraints## Note that these IOSTANDARD constraints are applied to all IOs currently# assigned within an I/O bank. If these IOSTANDARD 阅读全文
posted @ 2017-08-01 13:31 KevinChase 阅读(900) 评论(0) 推荐(0) 编辑
摘要: 1、pmu-adc-iic等辅助电路全部用ps控制,pl全部释放给dut。 1)pmu:sync-sclk-sdi-sdo-busy,务必添加sdo; 2)adc:sclk-dout-ready 3)iic:scl-sda,address 2、pmu告警:亮灯+beep,另外把alarm信号隔离后引 阅读全文
posted @ 2017-07-31 13:52 KevinChase 阅读(167) 评论(0) 推荐(0) 编辑