uvm_reg——寄存器模型(三)

  uvm_reg 是uvm_reg_field , 包含所有uvm_reg_field 所有的函数。

posted on 2017-12-11 21:05  dpc525  阅读(570)  评论(0编辑  收藏  举报

导航