paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之fsm summary

   主要是1.不要用1段式写FSM 2.不要用状态编码写one-hot FSM ,要用索引编码写one-hot FSM。

posted @ 2016-05-09 09:07  CHIPER  阅读(233)  评论(0编辑  收藏  举报