paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之fsm1各种style的timing/area比较

 

 

整体说,一般还是用2段式,再加上output encodecd/default -X技巧。

posted @ 2016-05-08 22:34  CHIPER  阅读(126)  评论(0编辑  收藏  举报