paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之output encoded style with registered outputs(Good style)

 

 

 

把输出跟状态编码结合起来,即使可以省面积又是寄存器输出。但是没有讲解如何实现这种高效的编码。

posted @ 2016-05-08 17:08  CHIPER  阅读(134)  评论(0编辑  收藏  举报