05 2011 档案

摘要:http://www.cnblogs.com/Sivar/articles/1896418.html打个比方以前的高楼只有楼梯,你用惯了楼梯,进入楼道,左转直接上楼,没有任何多余的事现在有了电梯,你得先按按钮,然后等着电梯从上面下来(假设电梯在上面),然后等着开门,进去关门以后还得选择上几楼。。。如果上错了,还得重复上面的动作下到几楼。。。用了电梯以后你感觉也别扭了,不能左转直接上了,不能上错了后方便的下来了,不能。。————————————————————————————————————————————————————————————————————————————————————————— 阅读全文
posted @ 2011-05-30 23:13 Hello Verilog 阅读(1598) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/jinxinqiang/blog/item/2e447d15e2ab7f14972b43a6.html(一)序幕 一九九四年三月中的一天,在凯登斯(Cadence Design Systems)的办公大楼的总裁办公室内,气氛紧张而诡异,办公室内两个人都面无表情。在桌子后面的是凯登斯的总裁兼首席执行官,约瑟夫·卡斯特罗(Joseph B. Costello);在桌子前面的是一位来自台湾的中国人,徐建国(Gerald ''Gerry'' C. Hsu),徐此时是凯登斯的芯片设计部的总经理。 徐建国正将手中的辞职信递给 阅读全文
posted @ 2011-05-22 13:24 Hello Verilog 阅读(1533) 评论(1) 推荐(0) 编辑
摘要:http://hi.baidu.com/jinxinqiang/blog/item/45238c3c1eb6c2e054e7238f.html 我们很多的时候,闷在实验室闭门造车,实在不如稍抽出一点时间看看文献,看看别人是否有同样的困惑。我们的大老板说,要想有成绩别无他法只有读,读,大量的读文献,尤其国外的。1.阅读文献的来源 精研数据库,对于文献数据库和专业数据库应该深入研究。重要的如ISI,Medline, Ncbi等了。因人而异添加数据库到你的收藏夹。 了解与自己研究方向有关的机构,密切关注在该研究领域和方向的顶尖group所发表的论文并认真研读。对于本研究领域的国际领袖人物和实验室,应 阅读全文
posted @ 2011-05-22 13:20 Hello Verilog 阅读(265) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/jinxinqiang/blog/item/a81d2a9788defb12d21b702a.html 正如"打工皇帝"唐骏说:"我觉得有两种人不要跟别人争利益和价值回报。第一种人就是刚刚进入企业的人,头5年千万不要说你能不能多给我一点儿工资,最重要的是能在企业里学到什么,对发展是不是有利……"­ 人总是从平坦中获得的教益少,从磨难中获得的教益多;从平坦中获得的教益浅,从磨难中获得的教益深。一个人在年轻时经历磨难,如能正确视之,冲出黑暗,那就是一个值得敬慕的人。最要紧的是先练好内功,毕业后这5年就是练内功 阅读全文
posted @ 2011-05-22 13:17 Hello Verilog 阅读(258) 评论(1) 推荐(1) 编辑
摘要:http://blog.sina.com.cn/s/blog_4782b64d0100e6ut.html1.李彦宏百度公司总裁 博客地址: http://hi.baidu.com/liyanhong2. 张朝阳搜狐董事局主席兼CEO 博客地址:http://charles.blog.sohu.com/3. 马云阿里巴巴集团主席兼首席执行官 博客地址: http://yunma.blog.china.alibaba.com/4. 雷军曾任金山公司总裁兼CEO,现任UCWEB执行董事长 博客地址:http://blog.sina.com.cn/leijun5. 李开复(Google全球副总裁,大中 阅读全文
posted @ 2011-05-22 13:16 Hello Verilog 阅读(591) 评论(0) 推荐(0) 编辑
摘要:大家都是出来卖的。一要卖相好,二要敬业,三不要嫌买货人。所以,第一要保持美丽,第二要多做事少抱怨,第三看在钱的份上要适当妥协。blog.sina.com.cn/s/blog_4dc245fa0100f81o.html 美女CEO王潇,刚刚写了一篇三十感言。句句名言,虽然是写给女生的,但是,男生也更应该看看。《字字带泪——写在三十岁到来这一天》By王潇2008年11月3日谨以此文献给我的众姐妹,共勉。事业篇无论是打工还是创业,其本质无外乎向老板或客户出卖自己的有形或无形产品。一言以蔽之:大家都是出来卖的。既然是出来卖的,一要卖相好,二要敬业,三不要嫌买货人。所以,第一要保持美丽,第二要多做事少抱 阅读全文
posted @ 2011-05-22 13:14 Hello Verilog 阅读(261) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/xujiajundd/blog/item/0192e23ba3bd9bef15cecb7c.html上周,我正式提交了离职报告,准备给自己的职业生涯一个很大的转折,这是我长时间的思考最后所做的决定。但真的提出离职后,回想在公司的十年,还是百感交集。1997年7月16日,我只身提着一个包从深圳宝安机场下飞机,走出机场,天是那么蓝、白云那么低、空气那么潮,仰头望天,对这个城市,对公司、对即将开展的工作和生活、对自己的前途一片茫然。到了科技园,发现是个荒凉而偏僻的地方,不过倒很安静,上学的几年中,一连串的打击,使得我似乎有点喜欢这种安静、荒凉、在他乡的感觉。现 阅读全文
posted @ 2011-05-22 13:14 Hello Verilog 阅读(441) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/jinxinqiang/blog/item/33688da71476c3fb9052eeec.html【编者注:于读者建议,我从网络上找到此文并转载于此,希望大家客观评论。我读了2遍。非常不错和优秀的华为骨干。】大家好,我叫周红,十一年前毕业于复旦大学,到华为公司工作。最初带领一支七人的团队从事CDMA无线接入设备开发,那时候华为无线领域的销售大约一亿元,整个公司约4000人,年产值约20亿。十年来,全球电信产业增加了一倍,华为公司人员发展到约8万人,去年的产值约1000亿,增加了50倍,华为无线领域的产值从当初的1亿增长到今年的700亿左右,我所负责的 阅读全文
posted @ 2011-05-22 13:12 Hello Verilog 阅读(354) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/jinxinqiang/blog/item/07e9a745a6e16f056a63e555.html1. Leroys Engineering Web Site 一个非常好的网站,它收集非常多的资源,比如工业标准:http://www.interfacebus.com/Standards.html总线标准:http://www.interfacebus.com/Interface_Bus_Types.html还有很多很多,自己去看。 http://www.interfacebus.com/ - 外文 2. 比较详细的介绍了各种接口技术 http://w 阅读全文
posted @ 2011-05-22 13:00 Hello Verilog 阅读(847) 评论(0) 推荐(0) 编辑
摘要:http://bbs.fudan.edu.cn/bbs/tcon?bid=142&f=3084991216实验室一个人呆的无聊,发个伪学术贴,囧rz... 不可否认的,在Jack Kilby所带领下的集成电路时代已经对人类社会的每一个角落产生了天翻地覆的改革。小到手机,mp3,大到无线电视,卫星通讯,集成电路引领的风暴刮向了每一个我们可以想到的范围。早在十年前,我们约人见面靠事先说好时间和地点,若是到了时间对方还未出现,此时会选择去旁边的公共电话亭往家里拨个电话。往往对方的父母会说,已经出去了啊,此时只能继续郁闷的继续等待,等到自己不耐烦了,然后怅怅然回家,晚上再一对,发现原来是等错了 阅读全文
posted @ 2011-05-22 12:57 Hello Verilog 阅读(162) 评论(0) 推荐(0) 编辑
摘要:http://bbs.fudan.edu.cn/bbs/tcon?bid=142&f=49恭喜,你选择开发工程师做为自已的职业 悲哀,你选择开发工程师做为自已的职业 本文所指的开发工程师,仅指程序开发人员和以数字电路开发为主的电子工程师。 当你选择计算机或者电子、自控等专业进入大学时,你本来还是有机会从事其它行业的,可你毕业时执迷不悟,仍然选择了开发做为你的职业,真是自做孽不可活。不过,欢迎你和我一样加入这个被其它人认为是风光无限的“白领”吧。 如果你不是特别的与人世隔绝,我想你一定看过金老先生的名著《笑傲江湖》吧,里面有一门十分奇特的武功叫做"辟邪剑法",你看这个 阅读全文
posted @ 2011-05-22 12:55 Hello Verilog 阅读(198) 评论(0) 推荐(0) 编辑
摘要:http://www.cnasic.com/bbs/main.asp 现在,台湾的IC厂商大多已经有意进军大陆,大规模的招募行动即将展开。如果你羡慕IT金领的“钱途”和前途,请你在看完文章以后问一问自己:我可以像他们那样工作吗? 对很多人来说,那简直不叫生活! 但是,这就是生活——一个群体的共同生活写照。 2002年4月 CHEERS杂志 台湾的IC设计产业早已经是全球第2大,仅次于美国。2001年,台湾IC设计业预估创造出1,390亿台币的产值,成长率为20.7%。在国际上,这群IC设计工程师,创造出台湾的竞争力;在台湾,他们陆续创造出新的“脑力致富”典范。联发、威盛的高股价,让人们把“在I 阅读全文
posted @ 2011-05-22 12:52 Hello Verilog 阅读(406) 评论(0) 推荐(0) 编辑
摘要:http://www.copycheck.com.cn/1.选择文本输入2.复制你的大段论文粘贴后,点击搜索3.众多文献出现啦,选择最右侧比对(这两个字是灰色的,每篇文献后都有哒)后便敲开了该文章4.找到红色的部分,就是喽!不要有连续的50个字呦5.如果你没有抄袭的话就会提示找不到啦!抄袭的地方用自己的话转述吧!我正在改,痛彻心扉的改!对了,每天只有10次,节省着用哦! 阅读全文
posted @ 2011-05-22 12:50 Hello Verilog 阅读(340) 评论(0) 推荐(0) 编辑
摘要:http://bbs.fudan.edu.cn/bbs/tcon?bid=142&f=401 Functional verification Cadence NC-Verilog NC-VHDL Verilog XL Synopsys VCS VERA Developers Kit LEDA Checker Scirocco Simulator Aldec Active HDL2 Synthesis Cadence Ambit logic synthesis Synopsys DC Ultra HDL Compiler Verilog VHDL Compiler Design Ware 阅读全文
posted @ 2011-05-22 12:48 Hello Verilog 阅读(344) 评论(0) 推荐(0) 编辑
摘要:http://bbs.fudan.edu.cn/bbs/tcon?bid=142&f=39PC机上常用 EDA 软件介绍本站网址: http://eda163.com/(一)altera公司软件 GNU tools and Excalibur V3.0(一张光盘) GNU tools and Excalibur 3.0。与Quartus II v3.0 full同时推出的工具软件。 Excalibur_gnu_q40(一张光盘) GNU tools and Excalibur 4.0。与Quartus II v4.0 full同时推出的工具软件。 Maxplus II full V10 阅读全文
posted @ 2011-05-22 12:47 Hello Verilog 阅读(1693) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/jinxinqiang/blog/item/dfeed1da070cd0e539012f2b.html(看了才感叹原来这么多年的GOOGLE是白用了~这就是百度永远无法超越G的原因吧~)大前提:英文Google→www.google.com第一篇在搜索框上输入:“indexof/”inurl:lib再按搜索你将进入许多图书馆,并且一定能下载自己喜欢的书籍。在搜索框上输入:“indexof/”cnki再按搜索你就可以找到许多图书馆的CNKI、VIP、超星等入口!在搜索框上输入:“indexof/”ppt再按搜索你就可以突破网站入口下载powerpint作品 阅读全文
posted @ 2011-05-22 12:44 Hello Verilog 阅读(227) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/5457fd01c452dfd2267fb54e.htmlARM公司以及ARM芯片的现状和发展,从应用的角度介绍了ARM芯片的选择方法,并介绍了具有多芯核结构的ARM芯片。列举了目前的主要ARM芯片供应商,其产品以及应用领域。举例说明了几种嵌入式产品的最佳ARM芯片选择方案。 关键词:ARM MMU SOC RISC CPU===============================================================1 ARM芯片选择的一般原则 2 多芯核结构ARM芯片的选择3 主要ARM芯 阅读全文
posted @ 2011-05-22 11:34 Hello Verilog 阅读(592) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/668043db35f05365d0164e38.html EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个 阅读全文
posted @ 2011-05-22 11:32 Hello Verilog 阅读(1257) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/668043db345c5065d0164e94.html这个问题大了点,要花些时间才能写完,写错了自己挨顿骂是小事,减慢了大家奔小康的速度,耽误了国家的经济建设,可就是大事了,,,开个玩笑,其实我就是有那个贼心,也没有那贼能量!下面言归正传。要提高自己的IC设计水平(仅限于我熟悉的前端和后端,不包括 circuit design, RF等领域),自己的体会是:深刻理解基本概念,熟练掌握设计语言,正确理解设计流程,虚心学习前辈经验。估计是我语文没有学好,所有这些道理听起来没有什么新意,等我详细解释这后,希望大家能够觉得还有 阅读全文
posted @ 2011-05-22 11:31 Hello Verilog 阅读(637) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/2c583354419edf57574e0068.html台湾半导体加工业之父---张忠谋张忠谋 张忠谋简历: 1931年出生于浙江宁波 1952年获美国麻省理工学院机械系硕士学位 1958年进入美国德州仪器公司 1964年获斯坦福大学电机系博士学位 1972年升任德州仪器集团副总裁及半导体集团总经理 1984年任美国通用器材公司总裁 1985年担任台湾工业技术研究院院长 1986年创办台湾积体电路公司,任董事长 1994年创办世界先进积体电路公司 1999年被美国《商业周刊》评选为全球最佳经理人之一 2001年美国《时代 阅读全文
posted @ 2011-05-22 11:29 Hello Verilog 阅读(750) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/1ab1d551cb6ab68c8d543067.html常见的4种类型的公司需要IC设计人才 1.EDA公司 2.IC设计服务公司 3.IC设计公司 4.IDM或Fundry================================================================================各种类型公司需要什么样的IC设计人才?1. EDA公司需要的是熟悉IC设计的技术支持工程师,涵盖IC设计的所有方面,通常包括:系统设计,算法设计,数字IC前端逻辑设计与验证,FPGA设计,版图 阅读全文
posted @ 2011-05-22 11:29 Hello Verilog 阅读(452) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/c254efca8ff5a385c91768e8.html① 网络开放课件资源② 模拟集成电路3本经典③ 数字IC前端几本经典书籍④ Layout 经典书籍⑤ RFIC⑥ 吐血清单/*********************************** Start *************************************/① 网络开放课件资源MIT开放课件: http://ocw.mit.edu/OcwWeb/Electrical-Engineering-and-Computer-Science/inde 阅读全文
posted @ 2011-05-22 11:27 Hello Verilog 阅读(2521) 评论(0) 推荐(1) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/65c0dc1eb7b2c11c413417d4.html四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的体现,合理地采用这些设计思想能在FPGA/CPLD设计工作种取得事半功倍的效果。 FPGA/CPLD的设计思想与技巧是一个非常大的话题,由于篇幅所限,本文仅介绍一些常用的设计思想与技巧,包括(1)乒乓球操作、(2)串并转换、(3)流水线操作和(4)数据接口的同步方法。希望本文能引起工程师们的注意,如果能有意识地利用这些原则指导日后的设计 阅读全文
posted @ 2011-05-22 11:25 Hello Verilog 阅读(695) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/ada654730c79801f8701b099.htmlEIA(Electronic Industries Association)www.eia.org JEDEC(Joint Electron Device Engineering Council)www.jedec.org EIA成立于1924年,1950年和1957年曾先后改为无线电制造商协会(Radio Manufacturers' Association:RMA)和无线电、电子、电视制造商协会(Radio-Electronics-Television 阅读全文
posted @ 2011-05-22 11:25 Hello Verilog 阅读(720) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/c034e42f82a8143b1f308994.html 资深EDA分析师Gary Smith是Gary Smith EDA公司的创始人和首席分析师,最近他提出了2007年电子设计行业的十大热门主题。Smith曾任Gartner Dataquest的首席EDA分析师,直到2006年10月该公司突然宣布关闭其CAD研究组。Smith认为,从重要性而言,这十大热门主题并没有特别的顺序,实际上,最重要的是第十名—多核设计。 1. 电子设计—拐点继续存在 一段时间以来,Smith一直在谈论由向电子系统级设计转移所带来的EDA行业 阅读全文
posted @ 2011-05-22 11:24 Hello Verilog 阅读(208) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/e40402f0bd7df9c07931aaff.htmlF1~F12都有什么用?所有的键盘都至少有12个功能键,通常被称为F键,其位置一般是在键盘顶部,从F1到F12。不过你知道所有这些键的作用吗?你知道这些键怎样为你节省时间吗? F1: 如果你处在一个选定的程序中而需要帮助,那么请按下F1。如果现在不是处在任何程序中,而是处在资源管理器或桌面,那么按下F1就会出现Windows的帮助程序。如果你正在对某个程序进行操作,而想得到Windows帮助,则需要按下Win+F1。按下Shift+F1,会出现"What& 阅读全文
posted @ 2011-05-22 11:23 Hello Verilog 阅读(213) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/4f98871fbcaaf709304e158a.htmlWin XP 键盘快捷键概述 在 Win XP 中工作和Windows中工作时一样,利用快捷键代替鼠标。可以利用键盘快捷键打开、关闭和导航“开始”菜单、桌面、菜单、对话框以及网页。键盘还可以让您更简单地与计算机交互。 单击一个标题或按 TAB 键可以突出显示这个标题,然后按 ENTER 键。 一、常规键盘快捷键按键 目的Ctrl + C 复制。Ctrl + X 剪切。Ctrl + V 粘贴。Ctrl + Z 撤消。DELETE 删除。Shift + Delete 永 阅读全文
posted @ 2011-05-22 11:22 Hello Verilog 阅读(247) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/1f97ab00b700ad12728b6594.html常用快捷键快捷键 作用Ctrl+Shift+Spacebar 创建不间断空格Ctrl+ -(连字符) 创建不间断连字符Ctrl+B 使字符变为粗体Ctrl+I 使字符变为斜体Ctrl+U 为字符添加下划线Ctrl+Shift+ 缩小字号Ctrl+Shift+> 增大字号Ctrl+Q 删除段落格式Ctrl+Spacebar 删除字符格式Ctrl+C 复制所选文本或对象Ctrl+X 剪切所选文本或对象Ctrl+V 粘贴文本或对象Ctrl+Z 撤消上一操作Ctrl+ 阅读全文
posted @ 2011-05-22 11:21 Hello Verilog 阅读(455) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/5fd2d3011b171e051d95830b.html他们是半导体产业历史上最伟大的三位发明家,他们和众多天才的科学家一起,开创半导体产业历史上激动人心的“发明时代”。他们是集成电路之父,他们是硅谷的开创者,他们改变了我们的世界。如今,他们已经全部远去,然而,他们创造的半导体产业,年产值已经超过2100亿美元,并且仍在他们开辟的大路上高速前进,为这个世界带来日新月异的变化。他们的故事已经成为传说,激励着一代又一代的工程师和掘金者……。 2005年6月20日,美国德州仪器(TI)退休工程师、业界公认的集成电路(IC)第一 阅读全文
posted @ 2011-05-22 11:20 Hello Verilog 阅读(766) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/0cb3604cd73ca9fad72afce7.htmlMr. Ming-Kai Tsai(蔡明介)Ming-Kai Tsai serves as the chairman of MediaTek Inc. Tsai was one of the founding management team when MediaTek spun off from UMC in 1997, and has served as chairman of the company since that time. Under his lead 阅读全文
posted @ 2011-05-22 11:18 Hello Verilog 阅读(712) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/bb2b4ea888eafdb2cb130ce1.html 没有任何人的成功是偶然的,成功者必然有不为人知的奋斗故事,人不一定非要成为名人,但是人一定要有奋斗的目标!并脚踏实地去做!十大华人英雄:全球IT业最具影响力的十大华人英雄1、王安(An Wang(1920-1990)):文字处理机之父、王安公司创始人2、王嘉廉(Charles Wang):世界第三大软件公司CA创始人、主席兼CEO3、施振荣(Stan Shih):台湾最大IT公司宏基(Acer)创始人、主席4、杨致远(Jerry Yang):全球最大门户Yahoo 阅读全文
posted @ 2011-05-22 11:16 Hello Verilog 阅读(825) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/c1dc23ee505a25f8b2fb95e3.htmlCalibre物理验证系列〓 Calibre DRC 作为工作在展平模式下的设计规则检查(DRC)工具,Calibre DRC先展平输入数据库,然后对展平的几何结果进行操作。〓 Calibre DRC-H 作为Calibre DRC的选项,Calibre DRC-H确保层次化的DRC成为可能,层次化设计规则检查维持数据库的层次化结构,并且充分利用设计数据的层次化关系减少数据处理时间、内存使用和DRC检查结果数量。对于确定类型的芯片而言,DRC-H要比在展平模式下的C 阅读全文
posted @ 2011-05-22 11:15 Hello Verilog 阅读(2610) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/627e9fdd2526e0ec76c638e3.htmlSynopsys工具简介〓 LEDA LEDA?是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和Verilog描述、或者两者混合描述进行检查,加速SoC的设计流程。 LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力〓 VCSTM VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。 VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级 阅读全文
posted @ 2011-05-22 11:14 Hello Verilog 阅读(1727) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/e86f38a7fb0bb896d14358e3.html一些IC前端设计工具 (1)代码输入: 语言输入: Summit VisualHDL Summit Renior Mentor 图形输入: composer Candence Viewlogic Viewdraw (2)电路仿真:数字电路仿真 Verilog: VCS Synopsys Verilog—XL Candence modle-sim Mentor Vhdl: VSS Synopsys NC—vhdl Candence modle-sim Mentor 模 阅读全文
posted @ 2011-05-22 11:13 Hello Verilog 阅读(578) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/2fdeab4388b6a11072f05d28.html(一)TTL高电平3.6~5V,低电平0V~2.4V CMOS电平Vcc可达到12V CMOS电路输出高电平约为0.9Vcc,而输出低电平约为0.1Vcc。 CMOS电路不使用的输入端不能悬空,会造成逻辑混乱。EDA中国门户网站 e q C9f Q TTL电路不使用的输入端悬空为高电平,另外,CMOS集成电路电源电压可以在较大范围内变化,因而对电源的要求不像TTL集成电路那样严格。 用TTL电平他们就可以兼容(二)TTL电平是5V,CMOS电平一般是12V。 因为T 阅读全文
posted @ 2011-05-22 11:12 Hello Verilog 阅读(553) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/94c6d3777907c218b051b901.htmlXilinx网站资源导读 -from rickysu俗话说“授之鱼不如授之以渔”,看到这边有人要资料有人送资料的,其实论坛不更应该是一个交流的空间么?那就让找资料更有效率一点,让大家花更多时间来交流吧。 言归正传,写这篇文章主要想介绍Xilinx各种资料的找法、分类方法和什么问题该看哪些资料。限于经验,难免有错漏,希望大家指出错误并继续补充。一、软件Xilinx的软件主要是ISE, EDK, ChipScope Pro, System Generator, Plan 阅读全文
posted @ 2011-05-22 11:11 Hello Verilog 阅读(260) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/5e59b2fc08de6bfefc037f04.html 无沦是用离散逻辑、可编程逻辑,还是用全定制硅器件实现的任何数字设计,为了成功地操作,可靠的时钟是非常关键的。设计不良的时钟在极限的温度、电压或制造工艺的偏差情况下将导致错误的行为,并且调试困难、花销很大。 在设计PLD/FPGA时通常采用几种时钟类型。时钟可分为如下四种类型:全局时钟、门控时钟、多级逻辑时钟和波动式时钟。多时钟系统能够包括上述四种时钟类型的任意组合。1. 全局时钟2. 门控时钟3. 多级逻辑时钟4. 行波时钟5. 多时钟系统1.全局时钟对于一个设计 阅读全文
posted @ 2011-05-22 11:10 Hello Verilog 阅读(901) 评论(0) 推荐(1) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/17544029a34a52fd98250a6b.html什么是竞争冒险?请看: 组合逻辑电路中竞争冒险的分析1 引言 现场可编程门阵列(FPGA)在结构上由逻辑功能块排列为阵列,并由可编程的内部连线连接这些功能块,来实现一定的逻辑功能。 FPGA可以替代其他PLD或者各种中小规模数字逻辑芯片在数字系统中广泛应用,也是实现具有不同逻辑功能ASIC的有效办法。FPGA是进行原型设计最理想的载体,原型机的最初框架和实现通过PFGA来验证,可以降低成本、缩短开发周期。利用FPGA的可重配置功能,可以在使用过程中,在不改变所设计的 阅读全文
posted @ 2011-05-22 11:09 Hello Verilog 阅读(1797) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/2dcb1f3845b9582097ddd8da.htmlSPI协议简介(下面内容摘自互联网)一 SPI协议概括二 SPI协议举例三 SPI协议心得一 SPI协议概括SPI,是英语Serial Peripheral interface的缩写,顾名思义就是串行外围设备接口。是Motorola首先在其MC68HCXX系列处理器上定义的。SPI接口主要应用在 EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了 阅读全文
posted @ 2011-05-22 11:08 Hello Verilog 阅读(519) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/51b628666bbcc227ab184c9e.html通用串行总线(Universal Serial Bus, USB)通用串行总线是连接外部设备的一个串口总线标准,在计算机上使用广泛,但也可以用在机顶盒和游戏机上,补充标准(On-The-Go)使其能够用于在便携设备之间直接交换数据。USB由Intel、Microsoft、Compaq、IBM、NEC等几家大厂商发起。1 起因 2 简介 2.1 标准 3 历史 3.1 USB 3.2 USB On-The-Go Supplement 4 技术细节概述 4.1 技术指标 阅读全文
posted @ 2011-05-22 11:07 Hello Verilog 阅读(573) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/1a543b3404cefb4d241f1470.html1. USB pinout(USB 接口)2. Mini-USB pinout(Mini-USB 接口)================================================================================1. USB pinoutUSB (Universal Serial Bus) designed to connect peripherals such as mice, keyboards, scanne 阅读全文
posted @ 2011-05-22 11:06 Hello Verilog 阅读(722) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/7c1b35c294d36b36e4dd3b65.html简 介:介绍了LVDS(低电压差分信号)技术的原理和应用,并讨论了在单板和系统设计中应用LVDS时的布线技巧。1 LVDS介绍 LVDS(Low Voltage Differential Signaling)是一种低摆幅的差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗。 几十年来,5V供电的使用简化了不同技术和厂商逻辑电路之间的接口。然而,随着集成电路的发展和对更高数据速率的要求,低压供电 阅读全文
posted @ 2011-05-22 11:05 Hello Verilog 阅读(1097) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/4c53ed2b4c4dc7f9e6cd40fe.html数字电平标准 [部分转帖]下面总结一下各电平标准。和有需要的人共享一下^_^.现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的 LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。TTL:Transistor-Transistor Logic 三极管结构。Vcc:5V;VOH>=2.4V;VOL<= 阅读全文
posted @ 2011-05-22 11:04 Hello Verilog 阅读(1237) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/c996d9cc5d1a8c1400e92877.html施密特触发器(Schmitt Trigger),简单的说就是具有滞后特性的数字传输门。(一)施密特触发器结构举例(二)施密特触发器具体分析(三)施密特触发器电路用途(四)施密特触发器相关部分总结(五)附:用555定时器构成施密特触发器 用555定时器构成多谐振荡器Sometimes an input signal to a digital circuit doesn't directly fit the description of a digital si 阅读全文
posted @ 2011-05-22 11:04 Hello Verilog 阅读(3078) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/17544029109be3fa99250ada.html1.Architectural and electrical specification.2.RTL(Register Transfer Level) coding in HDL(Hardware Description Language).3.DFT(Design For Test) memory BIST(Built In Self Test) insertion, for designs containing memory elements.4.Exhaust 阅读全文
posted @ 2011-05-22 11:03 Hello Verilog 阅读(1265) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/f87b93240f15a7054c088db9.html1.锁相环的基本组成 [部分转贴]2.锁相环的应用 [1] 锁相环在调制和解调中的应用 [2] 锁相环在调频和解调电路中的应用 [3] 锁相环在频率合成电路中的应用================================================================================1.锁相环的基本组成许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的。锁相环路是一种反馈控制电路 阅读全文
posted @ 2011-05-22 11:02 Hello Verilog 阅读(2932) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/9c8070465981fc0d6b63e58b.html IC封装历史始于30多年前。当时采用金属和陶瓷两大类封壳,它们曾是电子工业界的“辕马”,凭其结实、可靠、散热好、功耗大、能承受严酷环境条件等优点,广泛满足从消费类电子产品到空间电子产品的需求。但它们有诸多制约因素,即重量、成本、封装密度及引脚数。最早的金属壳是TO型,俗称“礼帽型”;陶瓷壳则是扁平长方形。 大约在20世纪60年代中期,仙童公司开发出塑料双列直插式封装(PDIP),有8条引线。随着硅技术的发展,芯片尺寸愈来愈大,相应地封壳也要变大。到60年代末,四边 阅读全文
posted @ 2011-05-22 11:00 Hello Verilog 阅读(982) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/b105e858ddaef1da9c820493.html芯片封装:指把硅片上的电路管脚,用导线接引到外部接头处,以便与其它器件连接。Chip Package: The housing that chips come in for plugging into (socket mount) or soldering onto (surface mount) the printed circuit board. Creating a mounting for a chip might seem trivial to the u 阅读全文
posted @ 2011-05-22 11:00 Hello Verilog 阅读(2593) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/a4e2f826a8295c138b82a142.html1. 什么是竞争冒险?2. 竞争冒险产生的原因?3. 如何判断有竞争冒险?4. 如何消除竞争冒险?===============================================================================1. 什么是竞争冒险? 信号在通过连线和逻辑单元时,都有一定的延时。延时的大小与连线的长短和逻辑单元的数目有关,同时还受器件的制造工艺、工作电压、温度等条件的影响。信号的高低电平转换也需要一定的过渡时间。由于存在这 阅读全文
posted @ 2011-05-22 10:59 Hello Verilog 阅读(3687) 评论(0) 推荐(3) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/9c8070465802fd0d6b63e50a.html简 介:由于微电子技术的迅速发展和系统芯片的出现,包含微处理器和存储器甚至模拟电路和射频电路在内的系统芯片的规模日益庞大,复杂度日益增加。人们用传统的模拟方法难以完成设计验证工作,出现了所谓“验证危机”。为了适应这种形势,电子设计和验证工具正在发生迅速而深刻的变革。现在基于RTL级的设计和验证方法必须向系统级的设计和验证方法过渡,导致了验证语言的出现和标准化,本文将对当前出现的系统级设计和验证语言进行全面综述,并论述验证语言标准化的情况。分析他们的优缺点和发展趋势。 阅读全文
posted @ 2011-05-22 10:58 Hello Verilog 阅读(977) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/eb78fd444c6e5748510ffe83.html 1.主频 主频也叫时钟频率,单位是MHz,用来表示CPU的运算速度。CPU的主频=外频×倍频系数。很多人认为主频就决定着CPU的运行速度,这不仅是个片面的,而且对于服务器来讲,这个认识也出现了偏差。至今,没有一条确定的公式能够实现主频和实际的运算速度两者之间的数值关系,即使是两大处理器厂家Intel和AMD,在这点上也存在着很大的争议,我们从Intel的产品的发展趋势,可以看出Intel很注重加强自身主频的发展。像其他的处理器厂家,有人曾经拿过一快1G的 阅读全文
posted @ 2011-05-22 10:56 Hello Verilog 阅读(199) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/750eac88fcf5d790a5c2727e.html- 基于FPGA/CPLD设计与实现UART--摘 要:UART是广泛使用的串行数据通讯电路。本设计包含UART发送器、接收器和波特率发生器。设计应用EDA技术,基于FPGA/CPLD器件设计与实现UART。关键词:FPGA/CPLD;UART;VHDL UART(即Universal Asynchronous Receiver Transmitter 通用异步收发器)是广泛使用的串行数据传输协议。UART允许在串行链路上进行全双工的通信。---串行外设用到RS23 阅读全文
posted @ 2011-05-22 10:55 Hello Verilog 阅读(739) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/3613b6bf6f4dd00e18d81f78.html基于XC2V1000型FPGA的FIR抽取滤波器的设计摘要:介绍XC2V1000型现场可编程门阵列(FPGA)的主要特性和FIR抽取滤波器的工作原理,重点阐述用XC2V1000实现FIR抽取滤波器的方法,并给出仿真波形和设计特点。 关键词:FIR抽取滤波器;流水线操作;XC2V1000;现场可编程门阵列1 引言 抽取滤波器广泛应用在数字接收领域,是数字下变频器的核心部分。目前,抽取滤波器的实现方法有3种:单片通用数字滤波器集成电路、DSP和可编程逻辑器件。使用单片通 阅读全文
posted @ 2011-05-22 10:54 Hello Verilog 阅读(456) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/d131a0b42db17f778bd4b2c9.html1. OPENCORES.ORG 这里提供非常多,非常好的PLD了内核,8051内核就可以在里面找到。进入后,选择project或者由http//www.opencores.org/browse.cgi/by_category进入。对于想了解这个行业动态人可以看看它的投票调查。http://www.opencores.org/polls.cgi/list OpenCores is a loose collection of people who are intere 阅读全文
posted @ 2011-05-22 10:53 Hello Verilog 阅读(222) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/7f69080e9efb80ce7bcbe13d.htmlNios简单介绍:Nios II是一个用户可配置的通用RISC嵌入式处理器。在这儿,我引用了Altera公司关于NiosII的官方介绍:Altera推出的Nios? II系列嵌入式处理器扩展了目前世界上最流行的软核嵌入式处理器的性能, 把Nios II嵌入到Altera的所有FPGA中,例如StratixII、Stratix、CycloneII,Cyclone、APEX,ACEX和HardCopy系列器件中,用户可以获得超过200 DMIPS的性能,用户可以从三种处 阅读全文
posted @ 2011-05-22 10:52 Hello Verilog 阅读(384) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/5450ca51a512d11c367abe38.html2:生成一个可调试的nios 最小系统选择 Tools -> SopcBuilder,启动Altera SOPC Builder启动Altera SOPC Builder后,SOPC Builder会立刻弹出对话框填入System Name,比如niosII_e;HDL Language ,比如选择verilog,如下图:选择Board Target ,比如,我选择Unspecified Board;选择Target Device Family,比如,我选择C 阅读全文
posted @ 2011-05-22 10:51 Hello Verilog 阅读(345) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/b52daa163928fc1c972b4338.html3:在QuartusII中使用上述niosII系统在 SOPC Builder正确运行完毕后,可以在QuartusII中,打开SOPC Builder生成的niosII_e.bsf,检查一下引脚,看看跟你的设想是否有误,如下图,niosII_e有两个input:clk,reset_n,一组output:out_port_from_the_piop[7:0]如下图:你可以使用上述的niosII_e,来构造你自己的系统。如下图,我简单得创建了nisoSmall.bdf, 阅读全文
posted @ 2011-05-22 10:50 Hello Verilog 阅读(218) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/3a8f2bdaaa256edfb7fd485a.html摘要: 本文首先讨论了CRC的代数学算法,然后以常见的CRC-ITU为例,通过硬件电路的实现,引出了比特型算法,最后重点介绍了字节型快速查表算法,给出了相应的C语言实现。关键词: CRC, FCS, 生成多项式, 检错重传引言CRC的全称为Cyclic Redundancy Check,中文名称为循环冗余校验。它是一类重要的线性分组码,编码和解码方法简单,检错和纠错能力强,在通信领域广泛地用于实现差错控制。实际上,除数据通信外,CRC在其它很多领域也是大有用武之地的 阅读全文
posted @ 2011-05-22 10:49 Hello Verilog 阅读(1034) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/bde4de0f0845a3e9aa64576a.htmlLCDShort for liquid crystal display, a type of display used in digital watches and many portable computers. LCD displays utilize two sheets of polarizing material with a liquid crystal solution between them. An electric current passed 阅读全文
posted @ 2011-05-22 10:48 Hello Verilog 阅读(1762) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/6afab113b8985127dc540179.html1引言 OFDM(正交频分复用)是一种多载波数字调制技术,被公认为是一种实现高速双向无线数据通信的良好方法。在OFDM系统中,各子载波上数据的调制和解调是采用FFT(快速傅里叶变换)算法来实现的。因此在OFDM系统中,FFT的实现方案是一个关键因素。其运算精度和速度必须能够达到系统指标。对于一个有512个子载波,子载波带宽20 kHz的OFDM系统中,要求在50 μs内完成512点的FFT运算。 硬件实现FFT算法的主要方案有:DSP(通用数字信号处理器);FFT专 阅读全文
posted @ 2011-05-22 10:47 Hello Verilog 阅读(4804) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/1cb9c81122eaed7acb80c42e.html一. 超宽带无线通信技术(UWB)简介二. 超宽带无线通信技术概述 作者: 李唐 刘亚峰三. 超宽带(UWB)无线通信技术详解 作者:王德强 李长青 乐光新================================================================一. 超宽带无线通信技术(UWB)简介UWB (Ultra Wide Band,超宽带)是一种以极低功率在短距离内高速传输数据的无线技术。这种原来专属军方使用的技术随着2002年2月美国 阅读全文
posted @ 2011-05-22 10:31 Hello Verilog 阅读(4934) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/7e6ec631ba4e6aac5edf0e1b.html第一节,综合举例什么是综合呢?synthesis,台湾翻译为-合成,其作用就是将硬件描述语言的RTL级代码转变为门级网表。当然,现在综合技术已经很成熟了,还有推出的行为(behavioral)综合和物理(physical)综合。我们这里讨论的是逻辑(logic)综合。 综合技术是提高设计产能的一个很重要的技术,没有综合技术的发展,我们就不可能用HDL实现电路的设计,因为HDL开始是用来供电路仿真建模使用的,到了后来才把其中一部分子集作为可综合的语言,也就是我们使用的 阅读全文
posted @ 2011-05-22 10:28 Hello Verilog 阅读(2028) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/7096db4415000786b2b7dce1.htmlPerl 学习指南作 者:qiang审 校:JackyCheng出 处:中国Perl协会 FPC原 名:Perl学习指南Perlchina提醒您:请保护作者的著作权,维护作者劳动的结晶。首先,来到 Perlchina 就是找对学习 Perl 的第一步。每个人都有不同的学习方法, 下面列举几个供参考 如果 Perl 是你学的第一个编程语言,推荐先看一些编程基础,流程控制,逻辑和设计的知识. 大家有没有好的中文书籍介绍? 如果你学过其他语言,那就可以从学习 Perl 的 阅读全文
posted @ 2011-05-22 10:27 Hello Verilog 阅读(467) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/5e59b2fcd69c9df9fc037fc6.html无线电频谱和波段划分2004-03-10段号频段名称频段范围(含上限,不含下限)波段名称波长范围(含上限,不含下限)1极低频(ELF)3~30赫(Hz)极长波100~10兆米2超低频(SLF)30~300赫(Hz)超长波10~1兆米3特低频(ULF)300~3000赫(Hz)特长波100~10万米4甚低频(VLF)3~30千赫(KHz)甚长波10~1万米5低频(LF)30~300千赫(KHz)长波10~1千米6中频(MF)300~3000千赫(KHz)中波10~1百 阅读全文
posted @ 2011-05-22 10:25 Hello Verilog 阅读(2607) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/567e49fa791ef81aa8d31182.htmlIEEE 802.11b无线网络规范是IEEE 802.11网络规范的变种,最高带宽为11 Mbps,在信号较弱或有干扰的情况下,带宽可调整为5.5Mbps、2Mbps和1Mbps,带宽的自动调整,有效地保障了网络的稳定性和可靠性。 其主要特性为:速度快,可靠性高,在开放性区域,通讯距离可达305米,在封闭性区域,通讯距离为76米到122米,方便与现有的有线以太网络整合,组网的成本更低。 WiFi Wireless Fidelity,无线保真,技术与蓝牙技术一样,同 阅读全文
posted @ 2011-05-22 10:23 Hello Verilog 阅读(806) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/7060ae0719fab9c97b894734.html随着通信需求的不断增长,宽带化已成为当今通信技术领域的主要发展方向之一,而网络的迅速增长使人们对无线通信提出了更高的要求。为有效解决无线信道中多径衰落和加性噪声等问题,同时降低系统成本,人们采用了正交频分复用(OFDM,Orthogonal Frequency Division Multiplexing)技术。其主要思想是:将信道分成若干正交子信道,将高速数据信号转换成并行的低速子数据流,调制到在每个子信道上进行传输。正交信号可以通过在接收端采用相关技术来分开,这样 阅读全文
posted @ 2011-05-22 10:22 Hello Verilog 阅读(718) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/4ea7f01315b83cd4f7039e94.html多入多出(MIMO,Multiple-Input Multiple-Out-put)或多发多收天线(MTMRA,Multiple Transmit Multiple Receive Antenna)技术是无线移动通信领域智能天线技术的重大突破。该技术能在不增加带宽的情况下成倍地提高通信系统的容量和频谱利用率,是新一代移动通信系统必须采用的关键技术。 那么MIMO技术究竟是怎样的? 实际上多进多出(MIMO)技术由来已久,早在1908年马可尼就提出用它来抗衰落。在70 阅读全文
posted @ 2011-05-22 10:22 Hello Verilog 阅读(2597) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/98751d09c9ca17cb3ac76323.htmlIntroduction to Digital Logic DesignJohn P. HayesISBN: 0201154617Digital DesignMorris Mano, M. Mano ISBN: 0130621218Computer System ArchitectureM. Morris ManoISBN: 0131755633Digital Logic Circuit Analysis and DesignVictor P. Nelson, Wi 阅读全文
posted @ 2011-05-22 10:20 Hello Verilog 阅读(631) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/0e1b4f2a64a7773c5243c128.htmlA Verilog HDL Primer by J. Bhasker Bk&Hardcover; Published by Star Galaxy Press.ISBN: 0-9656277-4-8The Verilog Hardware Description Languageby Thomas, D . E . / Moorby, Philip R .Fourth EditionPublished by Kluwer Academic Publisher 阅读全文
posted @ 2011-05-22 10:18 Hello Verilog 阅读(823) 评论(0) 推荐(1) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/3374fd94b65a151ad21b708c.html1. 什么是亚稳态? 1.1 亚稳态发生的原因 1.2 亚稳态的危害 1.3 亚稳态的解决办法 1.4 亚稳态与系统可行性2. 你的PLD处于亚稳态吗?3. What is metastability? [from www.asic-world.com]======================================================================1.什么是亚稳态?亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态 阅读全文
posted @ 2011-05-22 10:17 Hello Verilog 阅读(1873) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/e40402f0cfabc7c77931aa8a.htmlThere are times when a designer needs to interface two systems working at two different clocks. This interfacing is difficult in the sense that design becomes asynchronous at the boundary of interface, which results in setup and hold v 阅读全文
posted @ 2011-05-22 10:15 Hello Verilog 阅读(335) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/5457fd01f284edd2277fb504.html 在芯片的研发环节,FPGA验证是其中的重要的组成部分,如何有效的利用 FPGA 的资源,管脚分配也是必须考虑的一个重要问题。一般较好的方法是在综合过程中通过时序的一些约束让对应的工具自动分配,但是从研发的时间段上来考虑这种方法往往是不可取的,RTL验证与验证板设计必须是同步进行的,在验证代码出来时验证的单板也必须设计完毕,也就是管脚的分配也必须在设计代码出来之前完成。所以,管脚的分配更多的将是依赖人,而非工具,这个时候就更需要考虑各方面的因素。 综合起来主要考虑以 阅读全文
posted @ 2011-05-22 10:14 Hello Verilog 阅读(649) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/e8f8752465afb337c895593c.html异步FIFO 结构及FPGA 设计 吴自信,张嗣忠. 单片机及嵌入式系统应用,2000摘要:首先介绍异步FIFO的概念、应用及其结构,然后分析实现异步FIFO的难点问题及其解决办法;在传统设计的基础上提出一种新颖的电路结构并对其进行综合仿真和FPGA实现。1、异步FIFO介绍在现代的集成电路芯片中,随着设计规模的不断扩大,一个系统中往往含有数个时钟。多时钟域带来的一个问题就是,如何设计异步时钟之间的接口电路。异步 FIFO(First In First Out)是解 阅读全文
posted @ 2011-05-22 10:12 Hello Verilog 阅读(1858) 评论(1) 推荐(1) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/1148098defa05316b21bba4c.htmlDecimationI did not mention the term "decimation" yet at all because it is neither a process nor is it mystic - it's trivial. It is required when a bitstream, e.g. the output of an analogue modulator, shall be converted t 阅读全文
posted @ 2011-05-22 10:10 Hello Verilog 阅读(728) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/7668eddd0a92c7305882dd43.htmlWhen looking for an introduction to delta sigma conversion I found that most explanations were from a very theoretical point of view. It took me a while to understand how Delta Sigma converters really work. So I decided to write this i 阅读全文
posted @ 2011-05-22 10:09 Hello Verilog 阅读(912) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/e54301f44d87e4ddf2d385c3.html9.0 阻塞赋值 & 简单例子 有许多将Verilog和Verilog综合的书,它们举了很多成功地利用“阻塞赋值”为一些简单的时序电路建模的小例子。例13是一个在大多数 Verilog书本里用来为一个触发器(flip-flop)建模的例子(这是简单而有缺陷的阻塞赋值建模,但是它确实可以工作): module dffb (q, d, clk, rst); output q; input d, clk, rst; reg q; always @(posedge 阅读全文
posted @ 2011-05-22 10:07 Hello Verilog 阅读(1008) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/4a7f238220e256a60cf4d2c2.html源文件作者:Clifford E. Cummings (Sunburst Design, Inc.) 原标题:Nonblocking Assignments in Verilog Synthesis, Coding Styles That Kill! ATTN: 所有括号内“外注”为理解方便或有疑问的地方,原文里并没有。所有翻译都是为遵循“共同进步”的理想但并没有去努力得到原作者的任何书面和其它方式许可,所以仅供大家参考。本人英文和设计水平都极有限,所以不能保证与原 阅读全文
posted @ 2011-05-22 10:06 Hello Verilog 阅读(548) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/ddcc9350b74940581038c2d0.html一般认为Verilog HDL在系统级抽象方面比VHDL略差一些,而在门级开关电路描述方面比VHDL要强的多写了第一个verilog程序,是一个加法器内容如下module adder(count,sum,a,b,cin);input[2:0] a,b;input cin;output count;output [2:0] sum;assign{count,sum}=a+b+cin;endmodule开始编译出现了几次错误,后来发现给实体的命名和程序中实体要一致而且大 阅读全文
posted @ 2011-05-22 10:03 Hello Verilog 阅读(919) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/77a40cc631e4511f9d163d38.html1952年9月30日,第一套明线12路载波机(J2)装机,开通北京至石家庄的载波电路。1954年,研制成功60千瓦短波无线电发射机 1956年,上海试制成功55型电传打字电报机 我国第一次开放会议电话业务 1956年2月28日,北京长途电话局开放会议电话业务。首次会议电话会议为中华全国总工会召开的十省市电话会议。 1958年,上海试制成功第一部纵横制自动电话交换机,第一套国产明线12路载波电话机研制成功 1959年,第一套60路长途电缆载波电话机研制成功,北京与莫斯 阅读全文
posted @ 2011-05-22 10:02 Hello Verilog 阅读(582) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/2950e71664f03055f3de323f.html中国通信简史 (上)1871年,英国、俄罗斯、丹麦敷设的香港至上海、长崎至上海的水线,全长2237海里。于1871年4月,违反清政府不得登陆的规定,由丹麦大北电报公司出面,秘密从海上将海缆引出,沿扬子江、黄浦江敷设到上海市内登陆,并在南京路12号设立报房。于1871年6月3日开始通报。这是帝国主义入侵中国的第一条电报水线和在上海租界设立的电报局。 1873年,法国驻华人员威基杰(S·A·Viguer)参照《康熙字典》的部首排列方法,挑选了常用汉字 阅读全文
posted @ 2011-05-22 10:01 Hello Verilog 阅读(758) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/a8015aa41fcb82f39152ee5d.html以下内容均来自互联网,感谢原创。======================================闲来无事,随便写写,也算是分享一下信息吧。德国位于欧洲中部,人口8000多万,其中十分之一是外国人,25%的德国人有外国血缘,其中以土耳其和俄罗斯人居多,主要语言是德语,各地有方言。作为一个发动过两次世界大战,诞生了爱因斯坦,海森堡,歌德,黑格尔等大量科学家和思想家的国家,老一代德国人是喜欢思考的,德国的教育系统也有其特色。而新一代的德国年轻人受美国文化的影响 阅读全文
posted @ 2011-05-22 10:00 Hello Verilog 阅读(470) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/4a7f2382ca9f70a60cf4d21d.html作者:德州仪器公司Russell Anderson数据转换器分辨率和速度一直处于不断改进中。我仍然记得大概25年前在Tektronix参加的一个会议上,集体讨论了数据转换器的未来发展方向。我甚至不敢想象分辨率能够从 16 位提高到 24 位。但是,ΔΣ 转换器的架构却能够实现如此激动人心的分辨率突破。ΔΣ 转换器能够实现 24 位的转换结果。虽然这听起来让人振奋,但是为了达到最佳效果,我们仍然需要正确选择许多参数。随着抽样、调制时钟和 PGA 的调整,相同数据速率在 阅读全文
posted @ 2011-05-22 09:59 Hello Verilog 阅读(420) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/4a00ab13829624065aaf53d3.html坐标旋转数字计算机CORDIC(COordinate Rotation DIgital Computer)算法Useful Link:参见http://archives.math.utk.edu/ICTCM/VOL11/C027/paper.pdf 关于CORDIC的FPGA实现可参考 http://www.fpga-guru.com/files/crdcsrvy.pdf 阅读全文
posted @ 2011-05-22 09:57 Hello Verilog 阅读(317) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/0c8fcd45a5ad4320cffca33c.html最近一段时间,“云”成了IT行业最热门的名词。自从Google推出“云计算”(Cloud Computing,)以来,IT行业的各大厂商无一例外都卷入了一场“云中的战争”。从“云计算”延展开来,很多IT厂商也根据自己所处行业的实际情况推出了相应的“云计划”,像IBM的“蓝云计划”、EMC的“云存储”,等等。 所谓“云”,其实指的是后端(服务器端),平时我们很少能够看到的那一端,正因为平时难得看到,所以有一种虚无缥缈的感觉,也许就是因为这个原因,才被称为“云”吧。我们 阅读全文
posted @ 2011-05-22 09:53 Hello Verilog 阅读(221) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/47a772fa4837e79159ee9039.html云计算 Cloud Computing 简介 最近,很多大公司如MicroSoft、Google、IBM等都在炒作一个概念就是云计算,如IBM跟欧盟合作开展云计算,欧盟拨款1.7亿万欧元;Google与IBM 联合力推云计算模式;Yahoo! 也把宝押在了云计算上;我国也在无锡跟IBM公司联合建立了一个云计算中心;有人说微软收购Yahoo!一个重要的考虑就是在Yahoo在云计算方面的领先地位,多少有点儿道理。那么,什么是云计算哪?我看到有一位推广自由开源的老先生把云 阅读全文
posted @ 2011-05-22 09:52 Hello Verilog 阅读(374) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/b644a3f9e7b9a85f252df282.htmlSteve Jobs (Apple CEO)You've got to find what you love,' Jobs saysJobs说,你必须要找到你所爱的东西。This is the text of the Commencement address by Steve Jobs, CEO of Apple Computer and of Pixar Animation Studios, delivered on June 12, 2005.这 阅读全文
posted @ 2011-05-22 09:50 Hello Verilog 阅读(273) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/3683dd868e95623967096eb1.html计算机科学与技术系 重要国际学术会议一、A类会议序号 英文名称 (英文简称) 中文名称 备注1. International Symposium on Computer Architecture (ISCA) 计算机体系结构国际会议 2. ACM Sigcomm ACM Sigcomm3. IEEE International Symposium on Information Theory IEEE (ISIT) IEEE 信息理论国际会议 4. The Annua 阅读全文
posted @ 2011-05-22 09:49 Hello Verilog 阅读(397) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/9ca72ee73bae3229b93820b6.html微纳电子学系 重要国际学术会议一、A类会议序号 英文名称 (英文简称) 中文名称 备注1. IEEE International Electron Devices Meeting (IEDM)2. International Conference on Very Large Scale Integration (VLSI) Design3. IEEE International Conference on Microelectromechanical Systems 阅读全文
posted @ 2011-05-22 09:48 Hello Verilog 阅读(342) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/3374fd94f9476019d21b70bc.html电子工程系 信息与通信工程一级学科 重要国际学术会议汇总一、A类会议序号 英文名称 (英文简称) 中文名称 备注1. IEEE International Conference on Acoustics, Speech and Signal Processing (ICASAP) IEEE声学、语音和信号处理国际会议 2. IEEE International Conference on Image Processing (ICIP) IEEE图像处理国际会议 3. 阅读全文
posted @ 2011-05-22 09:46 Hello Verilog 阅读(396) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/fe93c63e917504fd828b13f7.htmlPart 1: Basics1.1 什么是FIR滤波器?FIR 滤波器是在数字信号处理(DSP)中经常使用的两种基本的滤波器之一,另一个为IIR滤波器.1.2 FIR代表什么?FIR是有限冲激响应(Finite Impulse Response)的简称.1.3 FIR(有限冲激响应)中的有限该如何理解?冲激响应是有限的意味着在滤波器中没有发反馈.1.4 FIR 怎么发音?有些人直接读字母音 F-I-R; 也有人发做fir的音[:], fir是冷杉树.1.5 FIR 阅读全文
posted @ 2011-05-22 09:42 Hello Verilog 阅读(966) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/4a00ab1313bab5055aaf53f9.html8. 假设前级Flip-Flop的讯号由1变0,计算第2条Path终点的AT。 图二十九9. 计算第2条Path终点的RT 图三十10. 假设前级Flip-Flop的讯号由0变1,计算第2条Path终点的Slack。Slack为负,因此Timing不满足。 图三十一11. 假设前级Flip-Flop的讯号由1变0,计算第2条Path终点的Slack。Slack为负,因此Timing不满足。综合10和11,第2条Path的Timing不满足,其Slack为-3。 图三 阅读全文
posted @ 2011-05-22 09:41 Hello Verilog 阅读(423) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/8a69354a8ced712409f7eff9.html除了Clock之外,对于电路其他输出输入端点及其周边的环境(Boundary Condition)也要加以描述。在说明Boundary Condition之前,我们得对路径(Path)有更进一步的了解。上文曾提及STA会将电路中所有的Path找出来加以分析,但Path的定义是什么 呢?Path根据起点及终点可以分为4种:由Flip-Flop Clock输入到Flip-Flop资料输入(图十七左上)。 由主要输入(Primary Input,简称PI)到Flip-Fl 阅读全文
posted @ 2011-05-22 09:39 Hello Verilog 阅读(603) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/334c4ffab3844c8e9e5146f8.html前言 在制程进入深次微米世代之后,晶片(IC)设计的高复杂度及系统单晶片(SOC)设计方式兴起。此一趋势使得如何确保IC品质成为今日所有设计从业人员不 得不面临之重大课题。静态时序分析(Static Timing Analysis简称STA)经由完整的分析方式判断IC是否能够在使用者的时序环境下正常工作,对确保IC品质之课题,提供一个不错的解决方案。然而, 对于许多IC设计者而言,STA是个既熟悉却又陌生的名词。本文将力求以简单叙述及图例说明的方式,对STA的基础概 阅读全文
posted @ 2011-05-22 09:37 Hello Verilog 阅读(2438) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/1754402924bed7f999250afa.html文章简介:在超大规模数字集成电路的设计中,我们使用逻辑综合工具来完成从RTL设计到门级网表的转化。我们希望它综合出的门级网表与我们的RTL 设计在逻辑和时序上完全一致。但是某些书写风格和设计思路却会造成两者不一致的情况,降低我们的工作效率。本文列举了三种RTL设计与综合后网表不一致的 情况,并给出了解决方法.我们以Design Compiler为例,来说明设计RTL时应该注意的问题。在仿真和调试时,我们使用了NC-Verilog和Debussy。1.不完整的敏感量列 阅读全文
posted @ 2011-05-22 09:35 Hello Verilog 阅读(2247) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/0186018979efa8b40e244476.htmlZigBee is the name of a specification for a suite of high level communication protocols using small, low-power digital radios based on the IEEE 802.15.4-2006 standard for wireless personal area networksWPANs, such as Bluetooth. ZigBee 阅读全文
posted @ 2011-05-22 09:34 Hello Verilog 阅读(488) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/5e73e150c801726584352473.html摘要 首先介绍了Zigbee技术的概念、特点及协议框架,在此基础上探讨了ZigBee技术的应用,最后对其发展趋势做了展望。 关键词 ZigBee技术 IEEE802.15.4 发展趋势 1、简介 ZigBee技术是一种应用于短距离范围内,低传输数据速率下的各种电子设备之间的无线通信技 术。ZigBee名字来源于蜂群使用的赖以生存和发展的通信方式,蜜蜂通过跳ZigZag形状的舞蹈来通知发现的新食物源的位置、距离和方向等信息,以此 作为新一代无线通讯技术的名称。ZigB 阅读全文
posted @ 2011-05-22 09:33 Hello Verilog 阅读(710) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/eb78fd444aca4940510ffeef.html了解过去,我们才能知其然,更知所以然。总结过去,我们才会知道我们明天该如何去规划,该如何去走。在时间的滚轮中,许许多的东西就像流星一样一闪而逝,而有些东西却能经受着时间的考验散发着经久的魅力,让人津津乐道,流传至今。要知道明天怎么去选择,怎么去做,不是盲目地跟从今天各种各样琳琅满目前沿技术,而应该是去 —— 认认真真地了解和回顾历史。 Unix是目前还在存活的操作系统的元老了,走过了40年的历程(参看《Unix 40年:Unix年鉴》、《Unix 40年:昨天,今天 阅读全文
posted @ 2011-05-22 09:27 Hello Verilog 阅读(347) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/7c1b35c20957d639e5dd3bea.htmlUnix传奇(下篇)Unix是目前还在存活的操作系统的元老了,走过了40年的历程(参看《Unix 40年:Unix年鉴》、《Unix 40年:昨天,今天和明天》)。由它引发的思想变革,对当今计算机文化造成的深远影响。这是一段所有从事计算机行业人员尤其是软件开发人员需要了解的历史。Unix的传奇历史是整个计算机世界文化最具代表性的,它对整个计算机世界文化的影响也是最巨大,最深远的。他给人带来的不单单的对过去的回味,更为我们带来了计算机世界的新思潮。下篇Unix与黑客文 阅读全文
posted @ 2011-05-22 09:25 Hello Verilog 阅读(230) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/5457fd01cb1ad4da277fb58e.htmlWilliam Bradford Shockley (February 13, 1910 – August 12, 1989) was an American physicist and inventor. Along with John Bardeen and Walter Houser Brattain, Shockley co-invented the transistor, for which all three were awarded the 1956 阅读全文
posted @ 2011-05-22 09:24 Hello Verilog 阅读(1075) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/cf73653098f4eb95a8018e4d.htmlJack St. Clair Kilby (November 8, 1923 - June 20, 2005) was a Nobel Prize laureate in physics in 2000 for his invention of the integrated circuit in 1958 while working at Texas Instruments (TI). He is also the inventor of the handheld 阅读全文
posted @ 2011-05-22 09:20 Hello Verilog 阅读(1285) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/ddcc93509a8e9f501038c299.htmlRobert Norton Noyce (December 12, 1927 – June 3, 1990), nicknamed "the Mayor of Silicon Valley", co-founded Fairchild Semiconductor in 1957 and Intel in 1968. He is also credited (along with Jack Kilby) with the invention of 阅读全文
posted @ 2011-05-22 09:16 Hello Verilog 阅读(2349) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/28b76417d842e00d4b90a77d.htmlLee De Forest (August 26, 1873 – June 30, 1961) was an American inventor with over 180 patents to his credit. De Forest invented the Audion, a vacuum tube that takes relatively weak electrical signals and amplifies them. De Forest is o 阅读全文
posted @ 2011-05-22 09:14 Hello Verilog 阅读(1129) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/76c4daec8836083127979141.htmlThe Traitorous Eight 八叛徒 八叛逆 八人帮The Traitorous Eight, as they became known, are eight men who left Shockley Semiconductor Laboratory to form Fairchild Semiconductor in 1957. More neutral terms include the "Fairchild Eight" an 阅读全文
posted @ 2011-05-22 09:13 Hello Verilog 阅读(401) 评论(0) 推荐(0) 编辑
摘要:http://hi.baidu.com/hieda/blog/item/668043db9d2b0b6ad1164e4a.htmlJohn Bardeen (May 23, 1908 – January 30, 1991) was an American physicist and electrical engineer, the only person to have ever won the Nobel Prize in Physics twice: first in 1956 with William Shockley and Walter Brattain for the invent 阅读全文
posted @ 2011-05-22 09:11 Hello Verilog 阅读(655) 评论(0) 推荐(0) 编辑
摘要:http://shiori.blog.edu.cn/2007/89635.html点评美国名校的電気電子工程系(EE)和计算机科学系(CS)点评美国名校的電気電子工程系(ECE)EE:Electrical & Electronic Engineering , includes Microelectronics and High Power*基础: 半导体物理和微电子 (理论).*中间: VLSI.*系统级: DSP和通信,控制等 (理论).*计算机工程: 不好说,有人把VLSI算计算机工程; 有人认为只有机构,软件(compiler和OS)等才算; 也有人认为那些都不是主要的,因为体系 阅读全文
posted @ 2011-05-22 09:06 Hello Verilog 阅读(1263) 评论(0) 推荐(0) 编辑
摘要:http://shiori.blog.edu.cn/2006/22601.htmlIC 设计行业的朋友们推荐优秀的书籍和相关资料,这些资料可以为你的学习和设计能力更上一层楼,提供了捷径!! 经典书籍收藏: No.1 Writing Testbenches, Functional Verification of HDL Models by Janick Bergeron 本书主要以 HDL ( verilog/vhdl )为例,详细讲述了在 IC DESIGN FLOW 中Verification 以及 Test 的设计思想、方法和技巧,涵概了测试的各个方面,是目前进行 IC 设计的同仁们最为推 阅读全文
posted @ 2011-05-22 09:03 Hello Verilog 阅读(454) 评论(0) 推荐(0) 编辑
摘要:http://shiori.blog.edu.cn/2006/22603.htmlhttp://www.rdrop.com/~cary/html/computer_architecture.htmlhttp://www.mdronline.com/mpr/index.htmlhttp://www-128.ibm.com/developerworks/powerhttp://www-306.ibm.com/chips/techlib/techlib.nsf/productfamilies/PowerPC俺收藏的关于微处理器设计的一些地址http://www.ece.cmu.edu/~koopma 阅读全文
posted @ 2011-05-22 09:02 Hello Verilog 阅读(132) 评论(0) 推荐(0) 编辑
摘要:http://shiori.blog.edu.cn/2006/22604.html一些图书下载网站www.itpub.net/ebook.vopen.neticbook.gbaopan.com/www.xpbook.com/www.infoxa.com/www.techbooksforfree.com/www.ebookcn.net/www.antpower.org/ 2566.tomore.com/listbook.php?p=367&c=374www.netyi.net/www.bookd.net/www.ebookcn.net2566.tomore.com/booknew.php 阅读全文
posted @ 2011-05-22 09:01 Hello Verilog 阅读(315) 评论(0) 推荐(0) 编辑
摘要:http://shiori.blog.edu.cn/2006/22607.htmlhttp://www.cad.zju.edu.cn/bbs/cgi-bin/forums.cgi?forum=11http://ati.amd.com/developer/index.htmlwww.shaderx.comwww.shaderx2.comwww.shaderx3.comwww.gpgpu.orgblog.csdn.net/soilworkdeveloper.nvidia.comwww.cs.tufts.edu/comp/150GPUhttp://www.cis.upenn.edu/~suvenk. 阅读全文
posted @ 2011-05-22 08:59 Hello Verilog 阅读(136) 评论(0) 推荐(0) 编辑
摘要:http://www.opencores.org/cvsweb.shtml/ http://www.asics.ws/http://www.oanda.com/convert/classic?user=freeipcore&lang=enhttp://www.ssipex.com/cn/exchange/freeresources.htmhttp://www.altera.com.cn/support/examples/exm-index.html http://www.alse-fr.com/English/ips.htmlhttp://www.soccentral.com/http 阅读全文
posted @ 2011-05-22 08:57 Hello Verilog 阅读(1192) 评论(0) 推荐(0) 编辑
摘要:http://shiori.blog.edu.cn/2006/22618.html 学EDA的同学可以通过开源提高自己前两天和同学讨论说实验室里研究EDA的同学可以或参与或解读一些开源的项目来提高自己。开源之于EDA如今的电子行业已经离不开各种各样的EDA软件了。EDA(Electronic Design Automation)可以理解为供电子的企业级软件,为工程师提供服务的计算机辅助设计工具。如果你画过PCB板,或许你对这个名词就会有一个初步的认识。然而EDA工具远不止板级设计工具,这个名词现在更多地知道集成电路(IC)设计中使用的工具。从代码输入,综合,仿真,验证,布局布线,版图设计……它 阅读全文
posted @ 2011-05-22 08:52 Hello Verilog 阅读(3087) 评论(0) 推荐(1) 编辑
摘要:http://blog.pfan.cn/378121200/21888.html住房公积金网站:http://www.shgjj.com 个人缴纳部分 公司缴纳部分养老保险金=工资×8% + 工资×22.5% 医疗保险金=工资×2% + 工资×12% 失业保险金=工资×1% + 工资×2%住房公积金=工资×7% + 工资×7% 月总收入、四金基数、四金个人部分、扣除四金后总数、个税、扣除个税后收入(当月纯收入)2000 2000 360 1640 39 16012500 2500 450 2050 80 19703 阅读全文
posted @ 2011-05-22 08:24 Hello Verilog 阅读(446) 评论(0) 推荐(0) 编辑
摘要:http://blog.pfan.cn/378121200 当今许多企业的领导者几乎已经成为其企业的代名词,而在芯片业,我们听说的更多的是“龙芯”、“国芯”,他们的领导者的声音却鲜有传出。芯片业透出的强烈的民族色彩,也使这些隐身的企业家们的注意力更聚集在研发上,而坦然出现在镁光灯下的则是更能表达民族使命感的产品。 我们要感谢那些嗅觉灵敏的投资家,18号文件之后,大量的资本陆续涌入IC业,正在崛起的中国IC产业、IC企业、IC企业家们,正成为媒体关注的焦点 。为此,《中国高新技术企业》杂志开始独家搜索:是谁引擎了中国IC产业?《中国高新技术企业》杂志要一点一点地挖掘出和中国IC产业血肉相连的台前 阅读全文
posted @ 2011-05-22 08:22 Hello Verilog 阅读(1556) 评论(0) 推荐(0) 编辑
摘要:http://blog.pfan.cn/378121200这类软件都是由PLD/FPGA芯片厂家提供,基本都可以完成所有的设计输入(原理图或HDL),仿真,综合,布线,下载等工作。Altera公司上一代的PLD开发软件,使用者众多。目前Altera已经停止开发MaxplusII,而转向QuartusII软件平台MaxplusII学习资料下载MaxplusII BaselineAltera公司的免费PLD开发软件,界面与标准版的MaxplusII完全一样,但需要通过使用MAX+PLUSII Advanced Synthsis插件才能支持VHDL/Verilog。 该支持MAX7000/3000和 阅读全文
posted @ 2011-05-22 08:19 Hello Verilog 阅读(945) 评论(0) 推荐(0) 编辑
摘要:http://blog.pfan.cn/378121200 1. 首先是使用HDL语言进行电路描述,写出可综合的代码。然后用仿真工具作前仿真,对理想状况下的功能进行验证。这一步可以使用Vhdl或Verilog作为工作语言,EDA工具方面就我所知可以用Synopsys的VSS(for Vhdl)、VCS(for Verilog)Cadence的工具也就是著名的Verilog-XL和NC Verilog 2.前仿真通过以后,可以把代码拿去综合,把语言描述转化成电路网表,并进行逻辑和时序电路的优化。在这一步通过综合器可以引入门延时,关键要看使用了什么工艺的库这一步的输出文件可以有多种格式,常用的有. 阅读全文
posted @ 2011-05-22 08:17 Hello Verilog 阅读(553) 评论(0) 推荐(0) 编辑
摘要:http://blog.ednchina.com/foy/333926/message.aspx即使你正在设计的ASIC 或 SoC并非面向低功耗应用,但你仍需要熟悉低功耗设计技术,因为最新一代硅工艺技术本身就易于泄漏功耗。 要 点 在 45nm节点,泄漏的功率占IC总功耗的60%。 代工厂现在能提供多种库,每种库有多个管理电源的阈值电压。 EDA业已经划分为支持相似功耗标准的两个阵营:UPF(统一功耗格式)和CPF(公共功耗格式)。 时钟门控是最老式的技巧,而功率门控则正在快速成为低功耗设计中最热门的技术。 截止不久以前,低功耗数字 IC 设计一直是专家或专业 IC 设计者的领地。但是,大多 阅读全文
posted @ 2011-05-21 20:55 Hello Verilog 阅读(1707) 评论(1) 推荐(1) 编辑
摘要:http://www.socvista.com/bbs/viewthread.php?tid=3185&extra=page%3D1CPU是计算机的心脏,它是决定计算机性能的最重要的部件。同样CPU也是现代社会飞速运转的动力源泉,在任何电子设备上都可以找到微芯片的身影。不过能完成复杂功能的CPU确是以沙子为原料做成的,不得不惊叹于人类的智慧!Intel公布了大量图文资料,详细展示了从沙子到芯片的全过程,满足你的好奇心。简单地说,处理器的制造过程可以大致分为沙子原料(石英)、硅锭、晶圆、光刻(平版印刷)、蚀刻、离子注入、金属沉积、金属层、互连、晶圆测试与切割、核心封装、等级测试、包装上市 阅读全文
posted @ 2011-05-18 13:04 Hello Verilog 阅读(561) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/asic/admin/EditPosts.aspx?postid=2049741 这是我昨天在回家路上的思考,观察新入职的员工,对比已经逐步成熟的员工,再考察自己的经历,结合论坛上的众生相,突然就有了这样的三种境界的认识。当然我自己觉得前两种境界应该比较精准,但是最后一种境界的总结还有欠提炼,不过在现在,已经觉得是非常贴近我的所想了。 其实还有一种境界,就是根本就没有入门,这样的人很多,别看天天编程,但是什么都没有往心里去,从来就不去进行总结和反思,这样的人是在境界之外的,所以就没有专门列入。 巧妙——这是入门后的第一阶段,这个阶段关注HDL... 阅读全文
posted @ 2011-05-18 11:30 Hello Verilog 阅读(323) 评论(0) 推荐(1) 编辑
摘要:http://www.cnblogs.com/qiweiwang/archive/2010/10/23/1859546.html 这段时间去面试了几家公司,发现比较大的公司相对于重视基础问题。这里边又有几个问题特别的突出。他们是:同步时钟设计、亚稳态、异步FIFO。可以说,这些个问题要是弄清楚了,就至少满足了技术方面1/3的要求,另外的2/3是什么,我就说不清楚了。又有人发了竞争冒险毛刺的问题,不过,对于采用同步设计方法的系统,这些问题一般不会遇到。下面就谈谈我对这些问题的看法,要是你觉得看这些东西觉得类似一堆狗屎,那么恭喜你,你面试成功的机会增加了1/3;要是你你觉得阿,什么样的牛人拉了一堆 阅读全文
posted @ 2011-05-18 11:18 Hello Verilog 阅读(1052) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/qiweiwang/archive/2010/11/23/1885358.htmlCliff Cummings大师的公开论文,他本身是Verilog standard制定成员之一,这里有他所有发表的paperhttp://www.sunburst-design.com/papers/学习Verilog的利器,世界顶级的Verilog培训资料ilinx 2010电子设计竞赛培训(第一部分) http://www.61eda.com/Soft/Xilinx/Document/201009/5327.htmlXilinx 2010电子设计竞赛培训(第二部 阅读全文
posted @ 2011-05-18 11:16 Hello Verilog 阅读(485) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/god_like_donkey/archive/2010/04/16/1713821.html1. OPENCORES.ORG 这里提供非常多,非常好的PLD了内核,8051内核就可以在里面找到。进入后,选择project或者由http//www.opencores.org/browse.cgi/by_category进入。对于想了解这个行业动态人可以看看它的投票调查。http://www.opencores.org/polls.cgi/listOpenCores is a loose collection of people who are in 阅读全文
posted @ 2011-05-18 11:04 Hello Verilog 阅读(269) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/jianyungsun/archive/2011/01/17/1937678.html 自己学习Verilog 和FPGA已经一学期了,期间自己也算是做了不少的FPGA实验,也写了一些代码,期间自己感触最深的就是当你的代码出现错误时你应改怎么快速又准确的解决这些Bug,并同时也应该想想为什么会出现这些Bug,到底是当初那些原因导致这些Bug的产生等等。 我感觉自己犯的都是很低级的错误,其实说白了就两句话: 1、永远要对你的设计的每一个细节了如指掌。 2、做好版本管理,对你的每一个修改都要有记录,本版本的主要的特点、更改的地方等。 3、认真、仔细、小 阅读全文
posted @ 2011-05-18 11:00 Hello Verilog 阅读(394) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/jianyungsun/archive/2011/05/12/2044898.html1.OPENCORES.ORG这里提供非常多,非常好的PLD了内核,8051内核就可以在里面找到。进入后,选择project或者由http//www.opencores.org/browse.cgi/by_category进入。http://www.opencores.org/polls.cgi/listOpenCoresisaloosecollectionofpeoplewhoareinterestedindevelopinghardware,withasimi 阅读全文
posted @ 2011-05-18 10:58 Hello Verilog 阅读(889) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/jianyungsun/archive/2010/12/07/1899533.html在公司里的几个月,做的项目其实不多,但是收获还是有一些,我觉得收获最大的是设计理念的改变,这也是我这段时间最想总结的,我会在后面逐渐阐述。 如对此文有疑问或想给作者提建议请给作者发email:wangdian@tom.com1/时序是设计出来的 我的boss有在华为及峻龙工作的背景,自然就给我们讲了一些华为及altera做逻辑的一些东西,而我们的项目规范,也基本上是按华为的那一套去做。在工作这几个月中,给我感触最深的是华为的那句话:时序是设计出来的,不是仿出... 阅读全文
posted @ 2011-05-18 10:55 Hello Verilog 阅读(275) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/lunix/archive/2011/05/09/nonblocking_assignment.html对《Nonblocking Assignments in Verilog Synthesis, Coding Styles That Kill》一文的笔记介绍在逻辑解码的时候,两个众所周知的编码指导规则是:• Guideline: Use blocking assignments in always blocks that are written to generate combinational logic.• Guideline: Use n 阅读全文
posted @ 2011-05-18 10:46 Hello Verilog 阅读(767) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/lunix/archive/2010/12/31/rtl_coding_styles_that_yield_simulation_and_synthesis_mismatches.html1.0 简介ASIC或者FPGA设计就是把一个想法或者概念转换成物理实现的过程。这篇文章讨论了HDL编码风格所造成的RTLGate-level仿真的不一致的几种情况。它的一个基本的判定规则是,符合以下两种情况的编码风格是坏的编码风格。 提供给HDL仿真器的关于设计的信息不能传送给综合工具 综合开关提供给综合工具的信息在仿真器中不可得 如果上犯了上两条禁忌,就会造成 阅读全文
posted @ 2011-05-18 10:41 Hello Verilog 阅读(1242) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/jyaray/archive/2011/04/26/2029856.html 验证的主要目的:就是检查时间模型是否满足时间要求,是否实现了时间所需的功能。对于集成电路来说,具体就是在时间需求规定的激励下,电路是否产生了符合功能要求的输出;以及在设计需求规定的条件下,电路是否完成正常的功能。 以RTL级设计为仿真对象的前仿真,主要是验证电路的逻辑功能,信号的跳变是瞬时完成的,因此只能在功能上证明设计的正确性,而无法证明在实际电路中逻辑功能仍然正确。 门级仿真是对RTL代码综合并布局布线后生成的门级网表进行时序仿真,是引入了逻辑延时时间的仿真。在后仿真 阅读全文
posted @ 2011-05-18 10:37 Hello Verilog 阅读(456) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/jyaray/archive/2010/06/04/1751781.html今天彻底无语了,一个学弟问我,从Schematic到GDSⅡ的流程是什么,我竟然答之,仿真、综合、布局布线……事后,觉得不太对,查了一下资料,那里是不太对啊,简直是一点都不对,暴寒啊,也许是自己真是好久没做IC方面的东西了。一般的IC设计流程可以分为两大类:全定制和半定制,这里我换一种方式来说明。 1.1 从RTL到GDSⅡ的设计流程: 这个可以理解成半定制的设计流程,一般用来设计数字电路。 整个流程如下(左侧为流程,右侧为用到的相应EDA工具): 一个完整的半定制设计流程 阅读全文
posted @ 2011-05-18 10:36 Hello Verilog 阅读(1096) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/jyaray/archive/2011/05/11/2043091.html完整的、详细的设计规范是验证工作的重要起点。 验证工作根据设计规范(Specification)进行,详细的Spec是RTL代码的编写工作的依据,也是验证工作的依据。当验证过程发现DUT的响应与testbench预计的不符时,需要根据Spec判断是DUT出现错误还是testbench出现错误。参数化的全局定义Register相关位及其数值的全局宏定义。reg_define.v 相关路径的全局宏定义。define_board.v 系统重要变量的显示信息。display.v 与 阅读全文
posted @ 2011-05-18 10:33 Hello Verilog 阅读(1436) 评论(0) 推荐(0) 编辑
摘要:http://www.cnblogs.com/jianyungsun/archive/2011/01/13/1934851.html1. OPENCORES.ORG这里提供非常多,非常好的PLD了内核,8051内核就可以在里面找到。进入后,选择project或者由http//www.opencores.org/browse.cgi/by_category进入。对于想了解这个行业动态人可以看看它的投票调查。http://www.opencores.org/polls.cgi/listOpenCores is a loose collection of people who are interes 阅读全文
posted @ 2011-05-18 10:30 Hello Verilog 阅读(886) 评论(1) 推荐(0) 编辑
摘要:Usefull website http://honyaku.yahoo.co.jp/transtext1.About machine vision and FPGA implement and accelertionhttp://www.hunteng.co.uk/support/ipbyfunction.htm2. a blog about image processinghttp://kang.blog.com/3.International Journal of Computer Visionhttp://www.informatik.uni-trier.de/~ley/db/jour 阅读全文
posted @ 2011-05-16 22:52 Hello Verilog 阅读(175) 评论(0) 推荐(0) 编辑
摘要:1、用给出的一些门电路,搭出表达式output=en_try? en&nomask : en这一表达式 entry,en,nomask是输入2、给出电路,将时钟域1的脉冲传到时钟域2,两个时钟域的关系未知3、给出三分频的电路4、用pmos和nmos表示F=AB+CD(表达式与原题有点出入,记不清了,大概就这个意思)5、两段verilog程序,判断哪一段会产生latch,并修改6、给出了电路图,问在做DFT测试时可能产生什么问题,并修改7、给了张电路图,是功放与D触发器相连,问如何减少功耗(这个我一点都不懂)8、触发器S1、组合电路C1、触发器S2、组合电路C2依次相连,问的是时延、时钟 阅读全文
posted @ 2011-05-16 22:49 Hello Verilog 阅读(1361) 评论(0) 推荐(0) 编辑