摘要: ROS on Raspberry Pi 3B Prepare Ubuntu Mate 16.04 Download "SD Card Formatter" then install it. Download "Win32 Disk Imager " Download "Ubuntu Mate 16. 阅读全文
posted @ 2019-04-02 21:35 JamesDYX 阅读(408) 评论(0) 推荐(0) 编辑
摘要: 神经网络模型拆分Distributed Machine Learning Federated Learning 针对神经网络的模型并行方法有:横向按层划分、纵向跨层划分和模型随机划分 横向按层划分将神经网络每两层间的连边参数、激活函数值和误差传播值存储于一个工作结点。前馈时,每一个节点要先向前一个结点索要一层的数据,经过自身的计算后,再把数据存到自身的末层上,供下一层发出索要数据的申请。后馈... 阅读全文
posted @ 2018-12-07 23:02 JamesDYX 阅读(1975) 评论(1) 推荐(0) 编辑
摘要: Chisel 学习笔记(七)Chisel 集合在Chisel中,我们既可以使用Scala中自带的集合,包括List,Seq,ArrayBuffer——List的使用方式在学习笔记(一)中有所体现;Seq与List类似,但是在Chisel中,我们更常使用Seq来表述某个模块的参数;ArrayBuffer的特性是不用描述出长度,且通过+就可以简单的添加元素(-可以删除元素),较为方便——也可以使... 阅读全文
posted @ 2018-12-07 13:24 JamesDYX 阅读(4167) 评论(0) 推荐(0) 编辑
摘要: Chisel 学习笔记(六)Chisel 参数 样例1classclass ParameterizedWidthAdderParamet (in0Width: Int, in1Width: Int, sumWidth: Int) extends Module { require(in0Width >= 0) require(in1Width >= 0) require(sumWid... 阅读全文
posted @ 2018-12-06 23:00 JamesDYX 阅读(1749) 评论(0) 推荐(0) 编辑
摘要: Chisel Chisel中的组合逻辑 Input与OutputChisel中的所有类都要继承Module类中必须要定义io类型,用来表示该模块的输入输出端口每一个输入输出端口,需要说明是有符号数还是无符号数,以及数据位宽class MyOperatorsTwo extends Module { val io = IO(new Bundle { val in = Inpu... 阅读全文
posted @ 2018-12-06 21:29 JamesDYX 阅读(2373) 评论(0) 推荐(0) 编辑
摘要: Chisel 学习笔记(五)Chisel Chisel中的时序逻辑 寄存器regval register = Reg(UInt(12.W))class RegisterModule extends Module { val io = IO(new Bundle { val in = Input(UInt(12.W)) val out = Output(UInt(12.W))... 阅读全文
posted @ 2018-12-05 20:28 JamesDYX 阅读(2415) 评论(0) 推荐(0) 编辑
摘要: Chisel 学习笔记(三)Chisel Chisel example、测试、verilog生成 样例模块package Passthroughimport chisel3._class MAC extends Module{ val io = IO(new Bundle{ val in_a = Input(UInt(4.W)) val in_b = Input(UInt(4... 阅读全文
posted @ 2018-12-05 19:37 JamesDYX 阅读(1073) 评论(0) 推荐(0) 编辑
摘要: Chisel 学习笔记(二)Chisel 新建Chisel项目 方式一将如下链接中的项目下载至本地。enter description here更改工程名称。删除.git,并将build.sbt中的name改成自己项目的名称。删除source>main>scala中的文件和source>test>scala中的文件。得到纯净版。 方式二新建scala项目,选择sbtscala版本选择2.... 阅读全文
posted @ 2018-12-05 19:36 JamesDYX 阅读(831) 评论(0) 推荐(0) 编辑
摘要: Chisel 学习笔记(一)Chisel Scala基础语法 变量和常量在scala中关键字var表示变量,val表示常量, 使用常量可以增强代码可读性,减少变量重复使用的可能值得注意的是,Scala行末没有分号,但如果一行里有多条语句,分号则是必要的var numberOfKittens = 6val kittensPerHouse = 101val alphabet = "abcdef... 阅读全文
posted @ 2018-12-05 19:35 JamesDYX 阅读(1547) 评论(1) 推荐(1) 编辑
摘要: 面向对象第四次总结性博客 测试与正确性论证这两次作业,我们分别体验了Junit单元测试和正确性论证。单元测试主要是从代码的实现结果出发,企图通过覆盖代码所有的代码分支和逻辑分支,来进行覆盖性测试。用穷举的办法进行覆盖测试是最简单的测试方式,特别是在有了Junit之后,测试变得有章法可循。但实际上,就算覆盖了所有的代码分支,也很难覆盖所有的逻辑分支。比如两条连续的if语句,实际上有4种组合方式,... 阅读全文
posted @ 2018-06-25 16:33 JamesDYX 阅读(309) 评论(0) 推荐(0) 编辑