摘要: Chisel 学习笔记(五)Chisel Chisel中的时序逻辑 寄存器regval register = Reg(UInt(12.W))class RegisterModule extends Module { val io = IO(new Bundle { val in = Input(UInt(12.W)) val out = Output(UInt(12.W))... 阅读全文
posted @ 2018-12-05 20:28 JamesDYX 阅读(2417) 评论(0) 推荐(0) 编辑
摘要: Chisel 学习笔记(三)Chisel Chisel example、测试、verilog生成 样例模块package Passthroughimport chisel3._class MAC extends Module{ val io = IO(new Bundle{ val in_a = Input(UInt(4.W)) val in_b = Input(UInt(4... 阅读全文
posted @ 2018-12-05 19:37 JamesDYX 阅读(1073) 评论(0) 推荐(0) 编辑
摘要: Chisel 学习笔记(二)Chisel 新建Chisel项目 方式一将如下链接中的项目下载至本地。enter description here更改工程名称。删除.git,并将build.sbt中的name改成自己项目的名称。删除source>main>scala中的文件和source>test>scala中的文件。得到纯净版。 方式二新建scala项目,选择sbtscala版本选择2.... 阅读全文
posted @ 2018-12-05 19:36 JamesDYX 阅读(832) 评论(0) 推荐(0) 编辑
摘要: Chisel 学习笔记(一)Chisel Scala基础语法 变量和常量在scala中关键字var表示变量,val表示常量, 使用常量可以增强代码可读性,减少变量重复使用的可能值得注意的是,Scala行末没有分号,但如果一行里有多条语句,分号则是必要的var numberOfKittens = 6val kittensPerHouse = 101val alphabet = "abcdef... 阅读全文
posted @ 2018-12-05 19:35 JamesDYX 阅读(1550) 评论(1) 推荐(1) 编辑