DDS---三角函数发生器的用法

直接数字频率合成技术(DDS)或者是压控振荡器,在许多数字通信系统中是重要的组成部分,工作原理是采用查找表方案,即通过查找表存储正余弦信号的采样值,数字的相位累加器生成合适的相位参数对查找表进行映射获得期望得到的输出波形。如下图是DDS的结构框图。

相位累加器是A1和D1的部分,The quantizer Q1, which is simply a slicer, accepts the high-precision phase angle θ(n) and generates a lower precision representation of the angle denoted Θ(n) as in the figure. This value is presented to the address port of a lookup table that performs the mapping from phase-space to time。

查找表存储值的个数为:N= 2^BΘ(n),Θ(n) = n*2*pi/N,

 

 

posted on 2014-04-06 20:46  天涯一客  阅读(528)  评论(0编辑  收藏  举报

导航