综合1

assign den_m_a = |a[9:0];
assign den_m_b = 1'b0 || b[9:0];

 以上两种写法综合结果相同

 

posted on 2019-11-07 19:40  yiyedada  阅读(119)  评论(0编辑  收藏  举报

导航