会员
周边
新闻
博问
闪存
众包
赞助商
Chat2DB
所有博客
当前博客
我的博客
我的园子
账号设置
会员中心
简洁模式
...
退出登录
注册
登录
MKYC
博客园
首页
新随笔
联系
订阅
管理
上一页
1
2
3
4
5
6
7
下一页
2025年3月2日
interface中的modport和clocking
摘要: modport的作用 modport 用于将模块的端口进行分组,使得模块的接口更加清晰和易于管理。通过 modport,可以将一组相关的端口组合在一起,并且可以指定这些端口的方向(输入/输出/双向等)。一个Interface可以有任意数量的modport定义,每个定义都描述了一个或多个其他模块如何看
阅读全文
posted @ 2025-03-02 23:18 MKYC
阅读(344)
评论(0)
推荐(0)
2025年3月1日
uvm_info的打印完整路径简化
摘要: 摘要 uvm_info会打印完整的文件路径及行号,本人希望将完整路径显示改正仅显示文件名。DS给出的答复如下。 实现方法1 class my_test extends uvm_test; `uvm_component_utils(my_test) function new(string name,
阅读全文
posted @ 2025-03-01 23:09 MKYC
阅读(277)
评论(0)
推荐(0)
ucli的使用
摘要: 摘要 -ucli 是 VCS(Verilog Compiled Simulator)中的一个选项,用于启用用户命令行界面(User Command - Line Interface,简称 UCLI)。通过 UCLI,用户可以在仿真过程中动态地控制仿真、查看信号状态、设置断点等,实现交互式的仿真调试。
阅读全文
posted @ 2025-03-01 22:27 MKYC
阅读(1802)
评论(0)
推荐(0)
fsdb相关系统函数
摘要: fsdbDumpfile 功能: 指定用于存储波形数据的 FSDB 文件的名称。 语法: systemverilog $fsdbDumpfile(string filename); 参数: filename:一个字符串,表示要创建的 FSDB 文件的名称。 示例: initial begin $fs
阅读全文
posted @ 2025-03-01 21:56 MKYC
阅读(544)
评论(0)
推荐(0)
一个vcs编译&仿真的MK脚本
摘要: 摘要 该脚本由DS生成,实用性有待检验,仅供参考。 详细代码 # Makefile for VCS compilation and Verdi simulation with testcase control # 基础配置 VCS := vcs VERDI := verdi TOP_MODULE ?
阅读全文
posted @ 2025-03-01 00:09 MKYC
阅读(149)
评论(0)
推荐(0)
2025年2月28日
timeformat函数
摘要: 摘要 $timeformat 是 SystemVerilog 中的一个系统任务,用于设置仿真过程中时间信息的显示格式。在仿真时,这个函数可以帮助你更清晰地控制时间戳的输出样式,方便调试和查看仿真结果。 语法 $timeformat(units, precision, suffix, min_fiel
阅读全文
posted @ 2025-02-28 23:33 MKYC
阅读(297)
评论(0)
推荐(0)
2025年2月24日
import的运用
摘要: 为啥使用import 编译效率 独立编译:package 可以独立编译,生成相应的编译结果(如目标文件)。当使用 import 引用 package 时,编译器可以直接使用这些预编译结果,而不需要每次都重新编译 package 中的代码。在大型项目中,修改 package 中的代码时,只需要重新编译
阅读全文
posted @ 2025-02-24 18:24 MKYC
阅读(51)
评论(0)
推荐(0)
2025年2月18日
调试经验
摘要: start_item阻塞 在body中,经常使用start_item()与finish_item()组合进行seq的发送。但在一种情况下,start_item()会出现阻塞: 当driver中未使用如下语句尝试获取seq时 seq_item_port.get_next_item(req) 测试点的覆
阅读全文
posted @ 2025-02-18 23:23 MKYC
阅读(8)
评论(0)
推荐(0)
2025年2月14日
pcie报文
摘要: PCIE的四种请求 memory、IO的地址编码 posted、no-posted TLP包类型缩写 MRD举例 TLP包格式 TLP HEAD TLP HEAD byte0 memory操作
阅读全文
posted @ 2025-02-14 22:10 MKYC
阅读(43)
评论(0)
推荐(0)
2025年2月10日
linux重要环境变量
摘要: PATH 简介 PATH 环境变量主要用于告诉系统在哪些目录中查找可执行文件。当你在终端中输入一个命令并按下回车键时,系统会根据 PATH 环境变量所指定的目录列表,依次在这些目录中查找与输入命令同名的可执行文件,如果找到了就执行该文件;如果遍历完所有目录都没有找到,则会提示 “command no
阅读全文
posted @ 2025-02-10 21:59 MKYC
阅读(121)
评论(0)
推荐(0)
上一页
1
2
3
4
5
6
7
下一页
公告