Error (10028): Can't resolve multiple constant drivers for net "mydata[14]" at sd_read.v(207)

 

原因:两个always模块中都对mydata[13]赋值,而Verilog中的always模块是并行结构。

posted @ 2017-10-10 15:45  Jasmineee  阅读(612)  评论(0编辑  收藏  举报