EUV光刻机市场与技术

EUV光刻机市场与技术

EUV光刻机市场

EUV光刻机已经成为芯片制造的支柱,台积电和三星等晶圆厂这几年不断追逐5nm和3nm等先进工艺,本身就是EUV光刻机采购大户,再加上现在这几大晶圆厂纷纷扩产建厂,无疑又加大了对EUV光刻机的需求。

除了晶圆厂等逻辑厂商之外,存储厂商也逐渐来到光刻机采用阶段,甚至与ASML签下多年的大单。

EUV光刻机的争夺战,逐渐白热化。

晶圆厂拥抱EUV光刻机,英特尔加倍重视

多个研究表明,在三大晶圆厂中,英特尔迄今为止购买的EUV工具相对较少,并且尚未开始购买这些极其昂贵、交货时间非常长、供应受限的系统。

据Mizuho Securities Asia Limited的一份关于ASML的报告,其预测了EUV客户台积电、三星、英特尔的购买情况,如下图所示,相对来说,英特尔处于落后地位,这与其在工艺节点的落后有关。

ASML宣布,其在 2020 年出货了31台EUV工具。虽然这表明EUV现已达到成熟,但仍低于其 35 台出货计划。然而,未能达标的部分原因是英特尔有据可查的7nm延迟:这减少了ASML四个单位的出货量。

 

只有那些7nm或以下的晶圆厂才真正需要基于 EUV光刻机。而英特尔在3月份宣布,将从2023年开始使用其7nm工艺制造用于客户端PC和高端服务器(开发代号为Meteor Lake和Granite Rapids)的处理器。

英特尔将斥资200亿美元在亚利桑那州建立两个领先的制造工厂,这将是“EUV能力”,这意味着将能够生产7nm及以下的芯片。通过引入EUV光刻技术,同时将制造外包给台积电来争取时间,这似乎是试图重建公司内部开发和制造体系的尝试。

 

英特尔CEO基辛格又表示,将全面拥抱EUV光刻工艺,大家能够看到英特尔对EUV工艺进行多代重大改进,也能看到晶体管级别的重大改进。这也是因为英特尔来到7nm之后,将有更多晶圆(芯片中的层)使用EUV进行曝光。而目前只有十几个最关键的层使用EUV进行曝光,这就是英特尔“全面拥抱EUV”的意思。

 

台积电和三星两家一直在追逐先进工艺,在EUV光刻机上的布局要多于英特尔。而且台积电和三星均将在美国建厂,这些工厂也都需要EUV光刻机。

据wccftech的报道,台积电的洁净室供应商江西汉唐系统集成有限公司在4月份发表的声明中,提供了有关台积电美国芯片厂设备进展的一些初步细节。该工厂将建在亚利桑那州,也是英特尔的所在地。汉唐董事长陈朝水概述了该工厂的合同将于7月完成,设备安装将于明年9月开始。

Fanuan System Technology是台积电为荷兰ASML极紫外(EUV)光刻机生产组件的合作伙伴,该公司也将派工程师前往亚利桑那州,预计该工厂的营收将从明年第三季度开始,这家工厂应该也要采购EUV光刻机。根据台积电上个月在其技术研讨会上分享的详细信息,该晶圆厂在全球拥有所有这些机器的一半,并负责去年所有基于EUV的芯片的 65%的出货量。

今年5月,据韩国媒体报道,三星电子已决定在德克萨斯州奥斯汀建设EUV半导体晶圆厂,这将是该公司首次在韩国以外的国家拥有EUV生产线。该公司做出这一决定是为了满足对更小的芯片日益增长的需求以及拜登总统重组该国半导体供应链的计划。公司计划今年第三季度破土动工,2024年开始运营,据悉该厂将采用5nm工艺。该工艺是三星电子迄今为止商业化的最先进工艺。

 

此外,三星电子李在镕去年10月访问了ASML,显然是为了获得EUV光刻机。而就在今年5月,ASML与韩国中央和地方政府签署了一份协议,将投资2400亿韩元(2.11亿美元),到 2025 年在京畿道华城建立EUV产业集群。

随着英特尔开始全面拥抱EUV光刻机,EUV光刻机的抢夺将更加白热化。虽然ASML 正在扩大生产,但到目前为止,只出货了100多台EUV机器,这是另一个潜在的瓶颈。

存储厂商也来到EUV光刻机时代

过往,逻辑厂商在工艺上一直处于先进地位,也是使用EUV光刻机的大户。但是现在存储厂商的发展也来到了使用EUV光刻机阶段。

此前一直表示不会采用EUV光刻的美光,也扛不住来到了EUV光刻时代,近日据韩媒报道,美光计划到 2024 在其制造工厂中实施极紫外(EUV)光刻,在1γ(Gamma)节点的有限的层数中部署EUV,然后会将其扩展到具有更大层采用率的1δ(Delta)节点。旨在通过允许制造更小的芯片特征来保持摩尔定律的存在。

美光总裁兼首席执行官Sanjay Mehrotra在公司2021财年第三季度财报电话会议上表示:“会监控EUV的进展。实际上参与了EUV评估。过去使用过EUV工具。当看到EUV平台以及生态系统变得更加成熟时,将在路线图中使用EUV。美光已从ASML订购了多种 EUV工具。”Mehrotra证实。美光已将2021财年的资本支出增加到略高于95亿美元,其中就包含这些EUV的预付款。

 

2021年2月1日,SK海力士完成首个用于DRAM的EUV晶圆厂,于2年前开始建造,位于京畿道利川园区的晶圆厂名为M16,该公司最大的晶圆厂。SK海力士首次为M16引进了EUV光刻设备。SK海力士计划使用尖端设备从今年下半年开始生产第四代10纳米DRAM产品,即1a-nm DRAM。

除此之外,SK海力士已与ASML签署了一份价值4.75万亿韩元(43亿美元)的5年合同,以采购极紫外光刻系统,按照最先进的EUV曝光设备预计每台约200亿日元,本次合同金额为采购20台以上的规模(支付金额包括安装费用等)。

去年年初,三星电子宣布全球首次开发基于 ArF-i 的D1z DRAM和分别应用其EUVL光刻的 D1z DRAM。2021年2月份,三星电子基于极紫外光刻技术的1z-nm工艺的DRAM已经完成了量产。半导体分析机构TechInsights拆解了采用EUV光刻技术和ArF-i光刻技术的三星1z-nm工艺DRAM,认为该技术提升了三星的生产效率,减小了DRAM的核心尺寸。

下一代EUV光刻机

多年来,芯片制造商使用基于光学的193nm波长光刻扫描仪来对芯片中最先进的功能进行图案化。通过多重图案化,芯片制造商已将193纳米光刻技术扩展到10或7纳米。但是在5nm处,当前的光刻技术已经失去动力。所以EUV光刻机就被推上历史舞台,这将NA(breaking index)从大约1.0提高到大约1.35纳米。

ASML的EUV光刻机目前使用的还是第一代,EUV光源波长在13.5nm左右,物镜的NA数值孔径是0.33,发展了一系列型号。但是,现在第一代的EUV光刻机的NA指标太低,解析度不够。所以在第一代EUV光刻机竞争格局,下一代光刻机也在被呼唤。

在ASML的规划中,第二代EUV光刻机的型号将是NXE:5000系列,其物镜的NA将提升到0.55,进一步提高光刻精度,半导体工艺想要突破1nm制程,就必须靠下一代光刻机。不过这也将更加昂贵,其成本超过一架飞机,预计成本超过3亿美元。

但下一代high-NA EUV的演进却不是那么容易,未来工艺节点向高数值孔径(“high NA”)光刻的过渡不仅需要来自系统供应商(例如 ASML)的巨大工程创新,还需要对合适的光刻胶材料进行高级开发。EUV光刻演化的一个经常被低估的方面是相应光刻胶材料的相应开发工作,寻找合适的光刻胶必须与系统开发同时进行。

High-NA EUV光刻系统将始于N2、N2+技术节点,ASML预计将在2022年完成第一台High-NA EUV光刻机系统的验证,并计划在2023年交付给客户。ASML宣布现在预计High-NA设备将在 2025 年或2026年(由其客户)进入商业量产。如三星、台积电和英特尔等的客户们也一直呼吁开发High-NA 生态系统以避免延误。

下一代的EUV光刻

高数值孔径 EUV 系统的引入将是解决与 EUV 多重图案相关的成本问题的关键步骤。

从开发到大批量制造成功过渡到新的制造工艺需要工艺工程师、设备制造商,尤其是化学品供应商之间的集体协作。特别重要的是光刻胶材料的化学性质以及与代表每个掩模层图案数据的曝光光子/电子的相互作用。

未来工艺节点向高数值孔径(“high NA”)光刻的过渡不仅需要来自系统供应商(例如 ASML)的巨大工程创新,还需要对合适的光刻胶材料进行高级开发。

在最近的 VLSI 2021 研讨会上,来自英特尔组件研究小组的 James Blackwell 对即将到来High NA EUV 过渡的潜在光刻胶的选择和优化提供了极具洞察力的见解。

从他的演讲中可以清楚地看出,寻找合适的光刻胶仍然是一个非常活跃的研究领域,必须与系统开发同时进行(使用 EUV 源而不是完整的扫描仪系统)。本文总结了演讲的亮点,特别关注了使High NA EUV 实现大批量制造所面临的挑战。

背景

在过去十年中,行业的一个分水岭时刻是引入了多图案光刻(multipatterning lithography),以实现关键层上设计间距的持续缩放。为了在继续使用 193nm 波长的浸渍曝光 (193i)的前提下实现小于 ~80nm 的间距,有必要将掩模数据划分为不同的子集。Shapes用子集名称“colored”——例如双图案光刻的“A”和“B”掩模数据。工艺设计套件布局规则得到扩展,以反映对用于对全层数据进行子集化的算法支持。设计规则验证功能已扩展为执行“循环”检查,以确认将数据分解为可解析形状的数据会在掩模库中成功。例如,循环分解错误如下所示。

 

 

 全层图案化(full layer patterning)是通过针对每个掩模子集的一系列“光刻”步骤实现的——例如,LE-2、LE-3 和 LE-4 分别指定了双重、三重和四重图案化的工艺流程。

此外,每个多图案层的掩模到掩模重叠容差(mask-to-mask overlay tolerance)引入了新的工艺变化来源。同一金属层上相邻导线之间的距离以及耦合电容随 LE-LE 工艺窗口而变化。

多重图案的演变也导致制造成本增加;以摩尔定律为指导的“每晶体管成本降低”趋势减弱。如上所述,随着在连续工艺节点中继续采用多重图案模式,LE-2 演变为 LE-3 和 LE-4,进一步导致更高的成本,如下所示。

 

(1)极紫外光

经常阅读 SemiWiki 的读者无疑熟悉波长为 13.5nm 的极紫外 (EUV) 光刻系统的最新发展,以取代 193i 光刻。为了应对多图案成本上升的趋势,EUV 系统在曝光吞吐量(每小时晶圆数)、曝光强度和系统正常运行时间方面已达到生产状态。如上图所示,业界正在积极开展研发工作,以发布第二代 EUV 系统。该系统将在透镜路径中加入更高的数值孔径 (NA = 0.55),从而实现更精细的间距分辨率,并再次重新校准 EUV 多重图案化与第一代 NA=0.33 设备的每层成本趋势。

可以肯定的是,EUV 系统是一个工程奇迹。然而,EUV 光刻演化的一个经常被低估的方面是相应光刻胶材料的相应开发工作。

(2)光刻胶基础知识

简而言之,将涂有光刻胶的硅片选择性地暴露于高能光子(或高能电子)会导致原始材料的化学键构型发生变化。对于(正性)有机光刻胶聚合物(organic photoresist polymer),入射光子会导致“脱保护”(deprotection)化学反应;随后的步骤是将曝光的硅片浸入显影剂中,从而溶解脱保护的聚合物。虽然每个新工艺节点的尺寸目标更加严格,但基本目标并没有真正改变:

  • 对光子波长/能量的高吸光度和选择性 (E=h*f):目标是更低的光子剂量 (mJ/cm**2) 和更大的曝光宽容度
  • 高对比度
  • 化学反应的低散射:对化学构型差异的高显影剂选择性,目标是减少显影图像的“线边缘粗糙度”(line edge roughness“LER)
  • 低粘度:易于光刻胶应用;因为需要一层薄而均匀的 PR 层(在旋涂和预烘烤之后),因为 EUV 曝光的焦深(depth-of-focus )非常小。
  • 对晶圆基板表面的良好附着力
  • 蚀刻步骤后易于去除光刻胶

对于最近的工艺节点,已经引入了化学放大抗蚀剂 (chemically-amplified resist :CAR) 材料。CAR 组合物在抗蚀剂中引入了“光酸产生剂”(photoacid generator:PAG)。简单来说,光酸(photoacid)是一种在吸收光时释放质子 (H+) 的分子,称为光解离(photodissociation)。曝光后,随后的加热步骤会释放出酸,该酸充当聚合物裂解的催化剂。

酸在脱保护过程中不会被消耗,而是继续通过抗蚀剂扩散以提供(数百个)反应,从而放大光子能量剂量的影响。抑制剂或猝灭剂(nhibitor  or quencher)化合物也被结合到 CAR 中,连接到抗蚀剂聚合物链。这种酸溶性抑制剂减轻了酸扩散并改善了溶解对比度,从而减少了产生的 LER。

与 EUV 光刻的低焦深相关的薄光刻胶膜厚度,结合减少剂量以提高系统吞吐量/正常运行时间的目标,意味着 EUV 曝光是一个随机过程,事件中的(随机)变化光子/单位面积密度。

聚合物-CAG-抑制剂组分密度的不均匀性是另一个变化来源。过渡到薄有机光刻胶薄膜的另一个困难折衷是需要对图案化后蚀刻(或注入implant)工艺步骤足够坚固。较厚的 PR 层对后续步骤更坚固,但在较低的曝光剂量下更难解决。如下图所示,高纵横比显影的 PR 薄膜会出现“图案塌陷”(pattern collapse)。

 

 

 显影液的表面张力会破坏相邻的高 PR 线之间的间距。

因此,工艺工程师专注于改进 EUV 计量,以发现光刻缺陷机制——例如,未完全开发的线路和通孔。EUV 演进中强烈相互依赖性的另一个迹象是半导体设备供应商专注于快速、在线光刻检测。

抗High NA EUV(Resists for High NA EUV)

James 提供的数据是英特尔、光刻胶供应商、学术机构和研究实验室密切合作的结果。下图说明了由High NA EUV 实现的光刻间距的目标转变,以及需要更薄的抗蚀剂涂层以减少焦深。

 

 

 在高数值孔径 EUV 系统可用之前,材料工程师如何评估潜在的光刻胶材料?James 描述了英特尔专门为光刻胶研究开发的系统,如下图所示。

 

 

 EUV 源连接到wafer chamber。James 重点介绍了添加到系统中的傅立叶变换红外光谱 (FTIR) 检测功能。(FTIR 使用材料在红外光谱曝光中的吸收来提供材料分析;它可以提供有关反应物和化学反应产物浓度的实时数据。)该系统使英特尔能够深入了解光刻胶对 EUV 曝光的响应。

EUV 光刻胶研发的一个独特方面是使用金属氧化物抗蚀剂化学作为传统聚合物材料的替代品的潜力。这些“无机”抗蚀剂具有高 EUV 吸收率和高抗蚀刻性,可用于后续加工。下图提供了金属氧化物与有机抗蚀剂化学的简化比较。

 

 

 James 描述了使用 Hf-OC 的金属氧化物抗蚀剂的一种选择。(行业中也正在积极研究 Ti 和 Zr 的氧化物。)抗蚀剂的化学反应顺序如下所示 - FTIR 分析证实反应过程中存在 CO2,Hf-OC 簇的交联为结果。

 

 

 曝光后的cross-linking 不同于前面描述的光解(photodissociation),其中溶解度“开关”(switch)现在与负抗蚀剂相关。

James 还描述了使用有机 CAR 抗蚀剂进行 EUV 曝光的结果。建议的抗蚀剂(加 PAG 加抑制剂)化学的一个例子,以及相应的对比与剂量曲线如下所示。

 

 

 如前所述,抗蚀剂组合物的不均匀性导致显影图像的变化增加。James 描述了英特尔团队为评估抗蚀剂的均匀性而进行的实验,如下图所示。

 

 

 在这种情况下,二次离子质谱 (secondary ion mass spectroscopy:SIMS) 用于分析聚焦入射离子束大小的薄膜成分,并聚集大量样品以评估 PR 异质性。

用 James 的话来说,“这种 SIMS 方法提供了数据来指导进行化学变化,从而提高图案均匀性。需要更好的分析方法来改进 EUV 抗蚀剂设计,以应对High NA EUV 带来的挑战——例如用于金属氧化物抗蚀剂的 SIMS 和 FTIR。而且,与供应商的密切合作至关重要。”

高数值孔径 EUV 系统的引入将是解决与 EUV 多重图案相关的成本问题的关键步骤。然而,正如英特尔在 2021 年 VLSI 研讨会上的演讲所表明的那样,为了满足相应的光刻胶材料要求,特别是解决有机材料与金属氧化物材料之间的权衡问题,显然还有大量的开发(和资格认证)。看看并行且相互依存的光刻系统和光刻胶技术如何发展将会非常有趣。

 EUV技术

光刻机分为紫外光源(UV)、深紫外光源(DUV)、极紫外光源(EUV)。按照发展轨迹,最早的光刻机光源即为汞灯产生的紫外光源(UV)。之后行业领域内采用准分子激光的深紫外光源(DUV),将波长进一步缩小到ArF的193 nm。由于遇到了技术发展障碍,ArF加浸入技术成为主流。

浸入技术是指让镜头和硅片之间的空间浸泡于液体之中。由于液体的折射率大于1,使得激光的实际波长会大幅度缩小。目前主流采用的纯净水的折射率为1.44,所以ArF加浸入技术实际等效的波长为193 nm/1.44=134 nm,从而实现更高的分辨率。

由于157 nm波长的光线不能穿透纯净水,无法和浸入技术结合。因此,准分子激光光源只发展到了ArF。通过浸没式光刻和双重光刻等工艺,第四代 ArF 光刻机最高可以实现 22nm 制程的芯片生产,但是在摩尔定律的推动下,半导体产业对于芯片制程的需求已经发展到 14nm、 10nm、甚至7nm, ArF 光刻机已无法满足这一需求,半导体产业将希望寄予第五代 EUV 光刻机。

 

2、EUV时代

为了提供波长更短的光源,极紫外光源(EUV)为业界采用。目前主要采用的办法是将二氧化碳激光照射在锡等靶材上,激发出13.5 nm的光子,作为光刻机光源。目前仅有由荷兰飞利浦公司发展而来的ASML(阿斯麦)一家可提供可供量产用的EUV光刻机,因此ASML对于EUV光刻机的供货重要性不言而喻,同时一台EUV光刻机也是价值不菲。

ASML作为芯片加工设备光刻机的第一强者,目前占据全球大部分市场份额,只有日本的两家光刻机公司(尼康和佳能)稍有竞争的潜能,但也只是占据很小的市场份额。即便是科技最发达的美国,目前也不能独自完整生产出光刻机,只能参与控股ASML。

 

光刻机的构造解析

光刻机的构造,一般分为:照明系统(光源+产生均匀光的光路),Stage系统(包括Reticle Stage和Wafer Stage),镜头组(这个是光刻机的核心),搬送系统(Wafer Handler+ Reticle Handler),Alignment系统(WGA,LSA, FIA)。另外半导体光刻机的工作温度必须保持在23度,要保证wafer在恒温和无particle的环境,必须要有恒温和控制particle、ESD的工作chamber。

 

 

 

 

 光刻机性能指标:

光刻机的主要性能指标有:支持基片的尺寸范围,分辨率、对准精度、曝光方式、光源波长、光强均匀性、生产效率等。

 

 

 光刻机的原理就是用光来投射到reticle上产生衍射,然后镜头收集到光汇聚到wafer上,形成图形,所以光是产生图形的必要条件。光刻机主要技术指标准分子激光器扫描步进投影光刻机最关键的三项技术指标是:光刻分辨率(Resolution)、套刻精度(Overlay)和产能(Productivity)。

光刻分辨率的计算公式为:CD=K1・λ/NA

式中λ为准分子激光器输出激光波长,K1为工艺系数因子,NA为投影光刻物镜数值孔径。从上式可以看出,提高光刻分辨率可以通过缩短激光波长、降低工艺系数因子K1和提高投影光刻物镜数值孔径NA等来实现。

缩短激光波长将涉及到激光器、光学系统设计、光学材料、光学镀膜、光路污染以及曝光抗蚀剂等系列技术问题;低工艺系数因子K1值成像,只有当掩模设计、照明条件和抗蚀剂工艺等同时达到最佳化才能实现,为此需要采用离轴照明、相移掩模、光学邻近效应校正、光瞳滤波等系列技术措施;投影光刻物镜的数值孔径则与激光波长及光谱带宽、成像视场、光学设计和光学加工水平等因素有关。

套刻精度与光刻分辨率密切相关。如果要达到0.10μm的光刻分辨率,根据33%法则要求套刻精度不低于0.03μm。套刻精度主要与工件台和掩模台定位精度、光学对准精度、同步扫描精度等因素有关,定位精度、对准精度和同步扫描精度分别约为套刻精度的1/5~1/3,即0.006~0.01μm。

提高生产效率是光刻机实现产业化的必要条件。为了提高生产效率,必须优化设计激光器输出功率、重复频率、曝光能量控制、同步扫描等各个技术环节,并采用先进技术尽量减少换片、步进和光学对准等环节所需时间。由此可见,首先必须先要有可靠的光源系统,才能确保光刻机的有效运行。

光源系统的发展

早期stepper式光刻机都是用汞灯做光源,最早有1kw,2kw到最后发展到了5kw,越来越恐怖。后来为了提高分辨率,采用了新的光源:laser,分为Krf(248nm)和Arf(193nm),laser也是不断在增加功率,现在最高的可以达到500kw级别了(非常恐怖的激光能量)。

为什么要发展大功率的汞灯和激光呢?这是产能的需求,在相同的曝光量下,光源的功率越高,曝光需要的时间越少,这样单位时间里面产能越高。汞灯发出的光向各个方向扩散,需要把光汇聚起来,达到大光强的目的,这时候一个椭圆镜是必须的了。

知道椭圆有两个焦点,把光源放到一个焦点上,那么光就会聚到另外一个焦点上,那就是快门的位置。同时这个椭圆镜还有另外一个功能,吸收不需要的光线。这种镜子上有一层涂层,一般500nm以上的红外光不被反射,而是被吸收。这些光会被产生热量,所以装汞灯的地方一定需要一个散热的东西,功率小一点的就用风扇吹,功率大的话就水冷了。反射出来的光也不是全部需要的,只需要365nm(I-line)或者436nm(G-line)的波长,别的波长的光也是要淘汰的,这时候filter就上场了的作用就是过滤掉不要的东西,只让需要的波长的光通过。

激光作为光源就不需要上面的这些东西了,因为从激光器里面出来的光已经是很纯的了,不需要再过滤。然后通过镜片组将光均匀化。这样,才能源源不断的给光刻机合格的光源。

 

 

 

 

 1、最初的两代光刻机:采用汞灯产生的 436nm g-line 和 365nm i-line 作为光刻光源,可以满足0.8-0.35 微米制程芯片的生产。最早的光刻机采用接触式光刻,即掩模贴在硅片上进行光刻,容易产生污染,且掩模寿命较短。此后的接近式光刻机对接触式光刻机进行了改良,通过气垫在掩模和硅片间产生细小空隙,掩模与硅片不再直接接触,但受气垫影响,成像的精度不高。

2、第三代光刻机:采用 248nm 的 KrF(氟化氪)准分子激光作为光源,将最小工艺节点提升至350-180nm 水平,在光刻工艺上也采用了扫描投影式光刻,即现在光刻机通用的,光源通过掩模,经光学镜头调整和补偿后,以扫描的方式在硅片上实现曝光。

3、第四代 ArF 光刻机:最具代表性的光刻机产品。第四代光刻机的光源采用了 193nm 的 ArF(氟化氩)准分子激光,将最小制程一举提升至 65nm 的水平。第四代光刻机是目前使用最广的光刻机,也是最具有代表性的一代光刻机。由于能够取代 ArF 实现更低制程的光刻机迟迟无法研发成功,光刻机生产商在 ArF 光刻机上进行了大量的工艺创新,来满足更小制程和更高效率的生产需要。

 

 

 4、第五代EUV光刻机:ASML光刻机可以使用波长为13.5纳米的极紫外光(EUV),实现14纳米、10纳米、和7纳米制程的芯片生产,而通过技术升级,也可以实现9纳米,8纳米,6纳米,5纳米,4纳米乃至3纳米等制程的芯片生产。获取波长为13.5nm的光是实现EUV光刻的一个重要步骤。激光等离子体(Laser-produced Plasma)极紫外光源(LPP-EUV光源)由于其功率可拓展的特性,成为了EUV光刻最被看好的高功率光源解决方案。

EUV 光刻机面市时间表的不断延后主要有两大方面的原因,一是所需的光源功率迟迟无法达到 250 瓦的工作功率需求,二是光学透镜、反射镜系统对于光学精度的要求极高,生产难度极大。这两大原因使得 ASML及其合作伙伴难以支撑庞大的研发费用。2012 年 ASML 的三大客户三星、台积电、英特尔共同向 ASML 投资 52.59 亿欧元,用于支持 EUV 光刻机的研发。此后 ASML 收购了全球领先的准分子激光器供应商 Cymer,并以 10 亿欧元现金入股光学系统供应商卡尔蔡司,加速EUV 光源和光学系统的研发进程,这两次并购也是 EUV 光刻机能研发成功的重要原因。

 

EUV光源系统

1、EUV光源系统的组成

EUV光源由光的产生、光的收集、光谱的纯化与均匀化三大单元组成。相关的工作元器件主要包括大功率CO2激光器、多层涂层镜、负载、光收集器、掩膜版、投影光学系(Xe或Sn)形成等离子体,等离子利用多层膜反射镜多次反射净化能谱,获得13.5nm的EUV光。

 

 

 

 

 

 

 光的产生:CO2激光器,一般采用TRUMPF(原美国大通激光)或者Mitsubishi electronic研制的激光发射器。

光的收集:极紫外光的波长为 13.5nm,这种光容易被包括镜头玻璃内的材料吸收,所以需要使用反射镜来代替透镜;普通打磨镜面的反射率还不够高,必须使用布拉格反射器(Bragg Reflector,一种复式镜面设计,可以将多层的反射集中成单一反射)。此外,气体也会吸收 EUV并影响折射率,所以腔体内必须采用真空系统。

EUV光的收集难度极大,因此转化效率也很低,这也是为什么EUV如此耗电的原因之一。这种光非常容易被吸收,连空气都不透光,所以整个生产环境必须抽成真空;同时,也无法以玻璃透镜折射,必须以硅与钼制成的特殊镀膜反射镜,来修正光的前进方向,而且每一次反射仍会损失 3 成能量,但一台 EUV 机台得经过十几面反射镜,将光从光源一路导到晶圆,最后大概只能剩下不到 2%的光线。反射镜的制造难度非常大,精度以皮米计(万亿分之一米),如果反射镜面积有德国那么大(大概是山东、河南两省面积之和),最高的突起不能超过1厘米。

 

 

 光的纯化与均一化:激光器里面出来的光已经是很纯的了,所以基本不需要再过滤。但不仅需要很纯的光,还需要均匀的光,这样投射到wafer上不会造成各个地方的CD不一致。谁来担当这个重任呢?

各个厂家用的都不一样,Nikon是一种叫fly-eye的镜头。这种镜片用很多块凸透镜组成,光打到上面就会在各个地方产生汇聚的作用,这样在relay lens的帮助下,一个平行的均匀的光产生了。

ASML用的是一种叫quad-rod的玻璃长方体,光在里面反射很多次,最后出来的光就被均匀化了。有了均匀的光,就可以拿来曝光用,可是有时候不需要全部视场大小的光,可能只要曝光一个很小的区域,这时候用于挡光的机构,Nikon叫blind, ASML叫REMA的东西就用上了,都是上下左右四块挡片,用马达带动,需要多大的区域只要让马达带动挡片,把不要的光遮住,这样就可以曝光需要的地方了。

最后,通过一块大的lens把光汇聚一下,就可以投射到reticle上进行曝光了。另外,各大巨头也对lens组进行了创新,比如Nikon有一种变形照明,在光路中加入了一个可以旋转的圆盘,圆盘上有一些用于产生特定图形的东西,如小sigma,annual等等,有的时候还需要多块fly-eye来进行光的处理。

在ASML的光路里,又会有很多负责产生各种调整光路的机构,甚至发展到最后,需要偏振光等等。越先进,里面的镜头组件用的就越多。此外,通过使用OPC(Optical Proximity Correction,光学邻近校正)软件对pattern进行修正,使用紧凑的模型动态仿真(即基于模型的OPC)的结果预先计算出一个查找表,根据这个查找表来决定怎样移动图案的边缘,从而对光和图案进行更进一步的处理。

 

2、EUV光源系统的工作原理

EUV产生工作原理:将高功率的二氧化碳激光打在直径为30微米的锡液滴上,通过高功率激光蒸发锡滴,然后将蒸汽加热到电子脱落的临界温度,留下离子,再进一步加热直到离子开始发射光子。

 

(1)锡液发生器使锡液滴落入真空室③。

(2)脉冲式高功率激光器①击中从旁飞过的锡液滴②—每秒 50,000 次。Laser分为两部分,前脉冲和功率放大器。前脉冲和主脉冲击中锡液使其气化。

(3)锡原子被电离,产生高强度的等离子体。

(4)收集镜捕获等离子体向所有方向发出的 EUV 辐射,汇聚形成光源。

(5)将集中起来的光源传递至光刻系统④以曝光晶片⑤。

3、Cymer & Gigaphoton公司简介

光源系统发展到今天,主流的EUV光源已确定为激光等离子体光源(LPP),目前只有两家公司能够生产:一家是美国的Cymer,另外一家是日本的Gigaphoton。

Cymer

1985年,Robert Akins与Richard Sandstrom两位来自加州大学圣地亚哥分校(UCSD)的博士,正思索着未来。由于Akins的博士论文是研究有关雷射光学信息处理(optical informationprocessing),而Sandstrom则是有关雷射物理,过去都曾任职于一家国防工业公司(HLX Inc.),从事一些运用雷射科技的国防机密项目 (esoteric projects)。有一天,在圣地亚哥进教的Del Mar海滩上休闲,掷飞盘与喝啤酒,讨论未来的前程发展。激发极多的创业构想,包括从事快餐经销商的可能性,不过最后决定创业还是应该奠定于自身的专长能力。于是在1986年,俩成立了一家名叫Cymer Inc.的公司,专门从事excimer laser技术的研究开发。

2012年10月,ASML斥资 19.5亿欧元收购Cymer后,加速极紫外光(EUV)相关技术的开发。为公司 2017年的 EUV设备 NXE 3400B,成功提高光源功率与精度,实现约 13纳米的线宽。时至今日,Cymer基本供给ASML使用,很少对外供货。

Gigaphoton

Gigaphoton原为小松公司和Ushio公司各占50%股份的合资公司,这家公司于2000年8月份成立,主要业务是开发,制造和销售光刻机用准分子激光器光源,并为顾客提供服务。Gigaphoton的主要研究方向是LPP型光源系统。2004 年底推出支持浸润 ArF 曝光的注入锁定式 ArF 准分子激光机 GT40A,更接连于 2005 年推出震荡频率达 1.5 倍之 6,000 Hz 机种 GT60A;2006 年推出大幅改善光谱带宽及营运成本的机种GT61A;2007年推出支持浸没式双重曝光、输出达90W 的机种GT62A,新产品相继问世。

2011年日本小松与Ushio公司决定终止两家公司有关EUV光刻机光源合资厂商Gigaphoton项目的合资合同。小松从Ushio公司手中收购Gigaphoton余下的50%股份,这样Gigaphoton成为了小松的独资公司。在作为次世代光刻光源备受瞩目的EUV 光源开发方面,更是加入「技术研究组极端远紫外曝光系统技术开发机构(ExtremeUltraviolet Lithography System Development Association; EUVA)」,Gigaphoton对于 LPP 方式技术发展有着很大的贡献。

 

 

 

 

 

 

 

 

 

 

 此外,尼康联手Gigaphoton一直都在研EUV光刻机。日本光刻机厂家在2005年组建了SELETE研究机构,致力于研究EUV技术。而且在更早期,日本还建立了一个EUVA研究机构,由尼康,佳能,小松制作所,优志旺,gigaphoton,富士通,日本电器,东芝,瑞萨电子等9家公司联合展开了极紫外曝光设备装置研发。2011年EUVA研发机构解散。由SELETE继承,开始研发更难更新一代的极深紫紫外光源EUVL,不管是前一代的紫外光源EUV还是次世代更牛逼的EUVL(极深紫外)都是日本人第一个研发出来的。此外,现在所谓的14nm就是个误区。以前科学家就认为14nm就会是EUV时代,但其实7nm都可以用ArF来实现,预测ArF的极限是5nm。尼康的EUV一直都只用在实验室里,受限于财力等原因,并未推到市场,也许更进一步的原因是正在为更下一代的极深紫外打基础。

EUV的缺点:价格贵&耗电

EUV光刻机要把光压到这么短的波长,需要极高的能量。除了设备售价高,技术复杂外,还有很高的耗电能力。EUV除了售价高,技术复杂外,其耗电能力也是一绝。

首先,要得到这样高功率的极紫外光,需要功耗极大的激光器。这样的激光器,工作时候会产生很大的热量,需要一套优良的散热冷却系统,才能保证机器正常工作,而这又需要消耗大量电力。

其次,修正光的前进方向时,每一次反射仍会损失 3 成能量,经过十几面反射镜,将光从光源一路导到晶圆,最后大概只能剩下不到 2% 的光线。被吸收的能量必须要用大功率散热系统进行冷却。

最后,为了提高产能,将光源功率不断提高,来提升曝光的节拍,需要更高的用电要求。所以,EUV光刻机的极低能源转换效率导致了极高的耗电量,业内人士表示,EUV光刻机就是用极端的耗电来出大力做奇迹。

 

参考链接:

https://www.huxiu.com/article/441068.html

https://www.gelonghui.com/p/473007

https://www.zhihu.com/question/339355431/answer/885609681

 

posted @ 2021-07-18 07:26  吴建明wujianming  阅读(3347)  评论(0编辑  收藏  举报