摘要: 还是需两个计数器,一个变量Z,计数器加1条件改为 dout !=0,这样可以省点资源 1 module cnt_test( 2 clk, 3 rst_n, 4 en1, 5 // en2, 6 // en3, 7 dout 8 ); 9 10 input clk; 11 input rst_n; 1 阅读全文
posted @ 2022-02-19 23:18 MyBooks 阅读(53) 评论(0) 推荐(0) 编辑
摘要: 1 module cnt_test( 2 clk, 3 rst_n, 4 en1, 5 // en2, 6 // en3, 7 dout 8 ); 9 10 input clk; 11 input rst_n; 12 input en1; 13 //input en2; 14 //input en3 阅读全文
posted @ 2022-02-19 21:50 MyBooks 阅读(58) 评论(0) 推荐(0) 编辑