【Xilinx-LVDS读写功能实现】-00-开始

最近用到了一款LVDS接口的摄像头,640*480灰度图像,数据速率为600Mbps,位宽10bit,DDR双边沿采样。

实现数据的采集需要用到FPGA内的SERDES模块,现在已经仿真通过了,等到上板调试成功后写一下具体的实现思路,与逻辑结构。

主要包括的功能,ISERDES的双边沿采样实现,ISERDES的位同步BITSLIP实现,图像帧的同步实现,图像数据帧到AXI4-Stream总线的实现。

posted on 2016-11-03 22:32  vacajk  阅读(828)  评论(0编辑  收藏  举报

导航