error : uvm_component_utils is undefined

the simple reason is the uvm_macros.svh not included in the top file

 

and note: there is no need to add +incdir+ to look for the svh

because the questa will automatically fix the path when import uvm_pkg

 

** Error: Environment.sv(16): (qverilog-2163) Macro `uvm_component_utils is undefined.

** Error: Environment.sv(16): near "(": syntax error, unexpected '(', expecting function or task
** Error: Environment.sv(19): near "new": syntax error, unexpected new, expecting TYPE_IDENTIFIER
** Error: Environment.sv(24): near "build": syntax error, unexpected IDENTIFIER, expecting TYPE_IDENTIFIER
** Error: Environment.sv(30): near "endfunction": syntax error, unexpected endfunction
** Error: Environment.sv(33): near "connect": syntax error, unexpected IDENTIFIER, expecting TYPE_IDENTIFIER
** Error: Environment.sv(37): near "endfunction": syntax error, unexpected endfunction
** Error: test.sv(12): (qverilog-2163) Macro `uvm_component_utils is undefined.
** Error: test.sv(19): (qverilog-2730) Undefined variable: 't_env'.
** Error: test.sv(22): near "task": syntax error, unexpected task, expecting IDENTIFIER or TYPE_IDENTIFIER
** Error: test.sv(25): near "endtask": syntax error, unexpected endtask
** Error: top.sv(33): (qverilog-2730) Undefined variable: 'Clock'.

posted on 2013-11-16 17:16  testset  阅读(1357)  评论(0编辑  收藏  举报

导航