在QuartusII中编译VHDL的package(原创)

在网上down的源码有些时候要自己来编译,用Quartus来编译VHDL的源码,当有package的时候,不能像在ISE中那样直接用work.packagename.all就可以把package包含进去,用quartus会出现一个错误说找不到这个package。解决这个问题可以使用user library,在当前项目中新建一个目录,起名比方说叫my_lib,然后把要编译的package放进去,然后在Assignments中settings(ctrl + shift + E)左边User Libraries,在右边的library name中添加刚才建的目录即my_lib,然后选左边的files把package文件添加到工程里面。在工程中要引用这个package就可以这样写,在需要引用这个package的文件头添加
 library my_lib;
 use my_lib.packagename.all;
,执行编译就可以了。
posted @ 2005-05-09 20:20  Swizard  阅读(3149)  评论(1编辑  收藏  举报