modelsim如何使用tcl脚本来写编译文件

  对于modelsim进行仿真,可以通过GUI进行仿真,当然更加快速的方法可以使用TCL脚本文件进行快速仿真。

  Modelsim采用TCL脚本文件仿真的具体流程如下所示:

 

modelsim如何使用tcl脚本来写编译文件     具体的操作步骤如下:

  1. 在进行modelsim仿真之前应先在工程目录下新建一个文件夹,名称任意,这里笔者设置为sim文件。
  2. 打开modelsim,然后新建一个工程,file—new—-project,将仿真文件路径放置到sim文件中,注意,整个路径不要有中文路径,注释的文字最好是英文,下面为了说明采用中文注释。
  3. 在sim文件中新建一个run.do文件,可以先新建一个.txt文件,然后修改对应的后缀,改成.do文件即可。
  4. 打开run.do文件,然后在内部添加如下的代码:

    modelsim如何使用tcl脚本来写编译文件

     

    modelsim如何使用tcl脚本来写编译文件

    上述是按照比较规矩的写法的进行的仿真文件.do的编写,当然也可以简化一下写法,具体操作如下所示:

    quit -sim

    .main clear

    vlib work

    vlog ./xxx.v

    vlog ./xxx_tb.v

    vsim -voptargs=+acc    work.xxx            #注意这里的.xx是xxx_tb.v文件中的模块名称,这条语句的意思是进行信号不优化仿真

    其余和上图写法一致,注意./和../的区别,./是当前目录,当前目录指的是sim这个文件,因为modelsim建立的仿真工程在这个目录下,所以统称为当前目录,不在sim文件下的其他文件需要用到./../,也就是从当前目录往上翻,然后找到相应的文件夹。

    modelsim如何使用tcl脚本来写编译文件     注意23行是进行的分割窗建立,25行是对源文件中的所有信号的仿真。最后仿真的波形如下所示:

modelsim如何使用tcl脚本来写编译文件

其他详细具体内容请查看博客:http://www.raymontec.com/modelsim%e5%a6%82%e4%bd%95%e4%bd%bf%e7%94%a8tcl%e8%84%9a%e6%9c%ac%e6%9d%a5%e5%86%99%e7%bc%96%e8%af%91%e6%96%87%e4%bb%b6/

posted @ 2016-10-27 09:54  raymon_tec  阅读(3608)  评论(0编辑  收藏  举报